blob: 5c46031518d9c2a74c800d053dc874b8a9b6a600 [file] [log] [blame]
{
"area": 4.7952,
"cell_footprint": "sky130_fd_sc_ls__inv",
"cell_leakage_power": 0.009064123,
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"leakage_power": [
{
"value": 0.0098745,
"when": "A"
},
{
"value": 0.0082538,
"when": "!A"
}
],
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,A": {
"capacitance": 0.00462,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.004442,
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.004798
},
"pin,Y": {
"direction": "output",
"function": "(!A)",
"internal_power": {
"fall_power,pwr_template13x24": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00883,
0.01059,
0.01271,
0.01525,
0.0183,
0.02196,
0.02635,
0.03162,
0.03794,
0.04553,
0.05463,
0.06556,
0.07867,
0.09441,
0.11329,
0.13595,
0.16314,
0.19577,
0.23492,
0.2819,
0.33828,
0.40594,
0.48713
],
"values": [
[
-0.0031275,
-0.014322,
-0.0166822,
-0.0195348,
-0.0229683,
-0.0270957,
-0.0320628,
-0.0380161,
-0.0451759,
-0.0537642,
-0.0640932,
-0.0764646,
-0.0913392,
-0.1091768,
-0.1306066,
-0.1563018,
-0.1871254,
-0.224136,
-0.2685588,
-0.3218463,
-0.3858119,
-0.4625583,
-0.5546406,
-0.6651272
],
[
-0.0033917,
-0.0144558,
-0.0168104,
-0.0196455,
-0.0230693,
-0.0271742,
-0.0321205,
-0.0380708,
-0.0452211,
-0.0538104,
-0.0641314,
-0.0764953,
-0.0913656,
-0.1091997,
-0.1306263,
-0.1563111,
-0.187149,
-0.2241538,
-0.2685657,
-0.3218505,
-0.3858014,
-0.462548,
-0.5546625,
-0.6651821
],
[
-0.0035883,
-0.0145783,
-0.0169111,
-0.0197332,
-0.0231334,
-0.0272404,
-0.0321895,
-0.0381291,
-0.0452676,
-0.0538442,
-0.0641565,
-0.0765198,
-0.0913811,
-0.1092138,
-0.1306246,
-0.1563325,
-0.1871676,
-0.2241668,
-0.2685881,
-0.3218702,
-0.3858122,
-0.4625559,
-0.5546553,
-0.6651796
],
[
-0.0037604,
-0.0147561,
-0.017065,
-0.0198727,
-0.0232679,
-0.027354,
-0.0322852,
-0.0382153,
-0.0453463,
-0.053912,
-0.0642109,
-0.0765764,
-0.0914345,
-0.1092537,
-0.130677,
-0.1563574,
-0.1871852,
-0.224192,
-0.2685948,
-0.3218891,
-0.3858294,
-0.4625692,
-0.5546653,
-0.6651854
],
[
-0.0038592,
-0.015007,
-0.0173045,
-0.0201022,
-0.0234619,
-0.0275393,
-0.0324519,
-0.0383561,
-0.0454636,
-0.0540122,
-0.0643008,
-0.0766463,
-0.0914845,
-0.1092939,
-0.130699,
-0.1563764,
-0.1872105,
-0.2242161,
-0.268632,
-0.3219168,
-0.3858571,
-0.4625831,
-0.5546523,
-0.6651707
],
[
-0.0037467,
-0.0152387,
-0.0175194,
-0.0203021,
-0.0236515,
-0.0276946,
-0.0325608,
-0.0384339,
-0.045522,
-0.0540743,
-0.0643493,
-0.0766884,
-0.0915292,
-0.1093355,
-0.1307395,
-0.1564198,
-0.1872356,
-0.2242429,
-0.2686385,
-0.3219126,
-0.3858493,
-0.4625877,
-0.5546946,
-0.6652043
],
[
-0.0037374,
-0.015309,
-0.0176758,
-0.0204843,
-0.023852,
-0.0279533,
-0.0328301,
-0.0387121,
-0.0457872,
-0.0543054,
-0.06455,
-0.0768651,
-0.0916891,
-0.1094852,
-0.1308567,
-0.15652,
-0.1873302,
-0.2242933,
-0.2686924,
-0.3219609,
-0.3859012,
-0.4626285,
-0.5546917,
-0.6652208
],
[
-0.0038257,
-0.0154176,
-0.0177318,
-0.0205316,
-0.0239381,
-0.0281353,
-0.0329629,
-0.0388348,
-0.0459189,
-0.0544328,
-0.0646893,
-0.076997,
-0.0918009,
-0.1095832,
-0.1309556,
-0.1566017,
-0.1873828,
-0.2243526,
-0.2687419,
-0.3220054,
-0.3859254,
-0.4626658,
-0.5547221,
-0.6652254
],
[
-0.0036958,
-0.0155326,
-0.0178681,
-0.0206903,
-0.023977,
-0.0281415,
-0.0330149,
-0.0390294,
-0.0461829,
-0.0547048,
-0.064922,
-0.0772121,
-0.0919961,
-0.1097514,
-0.1310877,
-0.156719,
-0.1875182,
-0.2244763,
-0.2688493,
-0.3220993,
-0.3860038,
-0.4627251,
-0.5547868,
-0.6652895
],
[
-0.0034437,
-0.0155505,
-0.0179238,
-0.0207964,
-0.0240033,
-0.0282945,
-0.0332258,
-0.0391182,
-0.0461998,
-0.0547523,
-0.0651589,
-0.0774522,
-0.0922158,
-0.1099693,
-0.131306,
-0.1569097,
-0.1876752,
-0.2246105,
-0.2689558,
-0.3221861,
-0.3860848,
-0.4627902,
-0.5548658,
-0.6653536
],
[
-0.0032159,
-0.0154717,
-0.0178443,
-0.0206802,
-0.0241912,
-0.0282553,
-0.033232,
-0.0391723,
-0.0462865,
-0.0548288,
-0.0650935,
-0.0774152,
-0.09236,
-0.1101472,
-0.1314716,
-0.1570814,
-0.1878309,
-0.2247663,
-0.2690997,
-0.3223194,
-0.3861985,
-0.4628952,
-0.5549257,
-0.6654198
],
[
-0.0026041,
-0.0151267,
-0.0175435,
-0.0204641,
-0.0240286,
-0.0281075,
-0.0330933,
-0.0390562,
-0.0461952,
-0.054798,
-0.0650997,
-0.077448,
-0.0922639,
-0.1100461,
-0.1314939,
-0.1572214,
-0.1879813,
-0.2249154,
-0.2692684,
-0.3224506,
-0.3863295,
-0.4630134,
-0.5550436,
-0.6655088
],
[
-0.0013853,
-0.0145852,
-0.0170389,
-0.0200294,
-0.0236577,
-0.0277346,
-0.0327851,
-0.0388225,
-0.0460438,
-0.0546789,
-0.0649813,
-0.0773767,
-0.0922517,
-0.1100648,
-0.1314475,
-0.1571108,
-0.1879357,
-0.2250344,
-0.2693785,
-0.32259,
-0.3864661,
-0.4631409,
-0.5551647,
-0.6656103
]
]
},
"related_pin": "A",
"rise_power,pwr_template13x24": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00883,
0.01059,
0.01271,
0.01525,
0.0183,
0.02196,
0.02635,
0.03162,
0.03794,
0.04553,
0.05463,
0.06556,
0.07867,
0.09441,
0.11329,
0.13595,
0.16314,
0.19577,
0.23492,
0.2819,
0.33828,
0.40594,
0.48713
],
"values": [
[
0.010507,
0.0234832,
0.026022,
0.0288878,
0.032409,
0.0363406,
0.0413096,
0.0474831,
0.0547153,
0.0631089,
0.0730973,
0.0856988,
0.1003951,
0.1182212,
0.139436,
0.1645547,
0.1956605,
0.2323715,
0.2758772,
0.3291758,
0.3922503,
0.4692983,
0.5593175,
0.669161
],
[
0.010393,
0.023214,
0.0256428,
0.0285731,
0.0322485,
0.0363535,
0.0414001,
0.047191,
0.0541735,
0.0627179,
0.0732974,
0.0855713,
0.1000628,
0.1181218,
0.1391621,
0.1646151,
0.1961713,
0.2319657,
0.2763051,
0.3293001,
0.3922083,
0.4693639,
0.5599582,
0.6695795
],
[
0.0103245,
0.0229768,
0.0256113,
0.0284063,
0.0320901,
0.0361057,
0.0411695,
0.0470473,
0.0544961,
0.0629711,
0.0733382,
0.0855519,
0.100086,
0.1182572,
0.1398442,
0.1654154,
0.1950084,
0.2318297,
0.2763299,
0.3290862,
0.3923295,
0.4693977,
0.5599372,
0.6701197
],
[
0.0102979,
0.0228133,
0.0252439,
0.0281647,
0.0317192,
0.0358644,
0.0408694,
0.0470074,
0.054082,
0.0625018,
0.0725344,
0.0851983,
0.0999441,
0.1183833,
0.1392546,
0.1643933,
0.1954841,
0.2324041,
0.2758285,
0.329031,
0.391905,
0.4688462,
0.5606934,
0.6685024
],
[
0.0103224,
0.0225868,
0.0248418,
0.0279992,
0.0315021,
0.0357377,
0.0408053,
0.0467601,
0.0539743,
0.062339,
0.0728928,
0.0854739,
0.1000813,
0.1175892,
0.139339,
0.1648056,
0.1959646,
0.2316783,
0.2756362,
0.3290332,
0.3926384,
0.4692971,
0.5598622,
0.6699804
],
[
0.0102216,
0.0225082,
0.0249274,
0.0276043,
0.0312406,
0.0354549,
0.0404782,
0.0465766,
0.0536757,
0.0622972,
0.0726243,
0.0846285,
0.099774,
0.1173348,
0.1391527,
0.1645973,
0.1947161,
0.2315573,
0.2762183,
0.3285879,
0.3929466,
0.469169,
0.5597826,
0.6699182
],
[
0.0102504,
0.0223977,
0.0247713,
0.0276608,
0.0310795,
0.0352306,
0.040334,
0.0463086,
0.0536411,
0.0620728,
0.0718879,
0.0842602,
0.0991388,
0.1174072,
0.1390093,
0.1641345,
0.1948231,
0.2311661,
0.2758084,
0.3281371,
0.3923212,
0.4680313,
0.5603149,
0.6681296
],
[
0.0103646,
0.0222902,
0.0246808,
0.0275618,
0.0310303,
0.035153,
0.0401094,
0.0462095,
0.0534386,
0.0615519,
0.0720747,
0.0844933,
0.0992756,
0.1172243,
0.1384189,
0.1639601,
0.1941153,
0.2319773,
0.2754824,
0.327865,
0.3919705,
0.4685812,
0.559601,
0.6698246
],
[
0.010471,
0.0223058,
0.0246924,
0.0276166,
0.0309891,
0.0350177,
0.0399795,
0.0459973,
0.0530158,
0.0615504,
0.0721219,
0.0845487,
0.0990808,
0.1162599,
0.1380451,
0.1636784,
0.1945317,
0.2303001,
0.2743181,
0.3276214,
0.3918379,
0.4679509,
0.5586102,
0.6688179
],
[
0.0102385,
0.022529,
0.0248397,
0.0277064,
0.0311697,
0.0353243,
0.0401477,
0.0460444,
0.0530869,
0.0616227,
0.0717756,
0.0840895,
0.0989407,
0.1167562,
0.1378881,
0.1634797,
0.1941932,
0.2313577,
0.2746653,
0.3285857,
0.3910261,
0.4673596,
0.5583516,
0.6690946
],
[
0.0104557,
0.0220866,
0.0244602,
0.0272821,
0.0309848,
0.0352299,
0.040106,
0.0461606,
0.0532809,
0.0616919,
0.0721459,
0.0845056,
0.0993255,
0.1166842,
0.1379873,
0.1634116,
0.1941266,
0.2308392,
0.2749163,
0.3272681,
0.3913588,
0.4674715,
0.5591224,
0.6682991
],
[
0.0111478,
0.0225073,
0.0248128,
0.0276126,
0.030998,
0.0351397,
0.0401264,
0.0465427,
0.0534171,
0.0620777,
0.0723164,
0.0843194,
0.0988523,
0.1163611,
0.1380699,
0.1635,
0.1936208,
0.2309995,
0.275127,
0.3280085,
0.3909355,
0.4670456,
0.5587931,
0.6678662
],
[
0.0121698,
0.0231869,
0.025533,
0.0282512,
0.0316412,
0.0357761,
0.0406724,
0.0464865,
0.0533108,
0.0620451,
0.0723083,
0.0846002,
0.0994243,
0.1168341,
0.1378357,
0.1630276,
0.1940849,
0.2309162,
0.2748149,
0.3269763,
0.3910093,
0.4664953,
0.5587152,
0.6678635
]
]
}
},
"max_capacitance": 0.48713,
"max_transition": 2.00149,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": {
"cell_fall,delay_template13x24": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00883,
0.01059,
0.01271,
0.01525,
0.0183,
0.02196,
0.02635,
0.03162,
0.03794,
0.04553,
0.05463,
0.06556,
0.07867,
0.09441,
0.11329,
0.13595,
0.16314,
0.19577,
0.23492,
0.2819,
0.33828,
0.40594,
0.48713
],
"values": [
[
0.0102622,
0.022412,
0.0246591,
0.027421,
0.0306074,
0.0344919,
0.0392463,
0.0450326,
0.0514592,
0.0595112,
0.0693011,
0.0808501,
0.0945991,
0.1112644,
0.1316806,
0.1555468,
0.1844252,
0.2189198,
0.2600703,
0.3108994,
0.3699278,
0.4409742,
0.5267665,
0.6308464
],
[
0.0123328,
0.0250112,
0.0272154,
0.029949,
0.033297,
0.0371169,
0.0417893,
0.0477584,
0.0541062,
0.0621651,
0.0720986,
0.0835221,
0.0973149,
0.1138346,
0.1340697,
0.1581584,
0.1867437,
0.2210365,
0.2628804,
0.3129004,
0.37208,
0.4432556,
0.5298909,
0.6333163
],
[
0.0139957,
0.0281178,
0.0304139,
0.0331709,
0.0364417,
0.0403543,
0.0451105,
0.0506169,
0.057328,
0.0656449,
0.0750982,
0.0866337,
0.1013768,
0.1173207,
0.1371914,
0.1615152,
0.189871,
0.224308,
0.2663242,
0.3162005,
0.3751424,
0.4467811,
0.5324183,
0.6373124
],
[
0.015833,
0.0330755,
0.0353602,
0.0380895,
0.0413706,
0.0453144,
0.0499456,
0.0557068,
0.062376,
0.0703519,
0.0803051,
0.0916244,
0.1053953,
0.1220025,
0.1422974,
0.167167,
0.1946611,
0.2293159,
0.2706067,
0.3212398,
0.3797213,
0.4510504,
0.5412956,
0.6411399
],
[
0.0176619,
0.0391593,
0.0420334,
0.0451898,
0.0487961,
0.0527071,
0.0573595,
0.0629647,
0.0697296,
0.0777289,
0.0874274,
0.0990376,
0.1132963,
0.1296254,
0.1493709,
0.1748328,
0.2020002,
0.2358293,
0.2779998,
0.3270298,
0.3868479,
0.4581364,
0.5449008,
0.647693
],
[
0.0196372,
0.0461618,
0.0497337,
0.0536984,
0.0581723,
0.062874,
0.0681037,
0.0738458,
0.0802332,
0.0882436,
0.0980206,
0.1097662,
0.1233546,
0.1402807,
0.1599465,
0.1841332,
0.2132853,
0.2484333,
0.2888379,
0.3384744,
0.3973064,
0.4682433,
0.5542337,
0.6569056
],
[
0.0210199,
0.0541178,
0.0582412,
0.063204,
0.0682324,
0.0749823,
0.0814893,
0.0887951,
0.0969787,
0.1056491,
0.1154565,
0.1269954,
0.1406059,
0.1571331,
0.1771536,
0.2014596,
0.2300397,
0.2655931,
0.3066924,
0.355601,
0.4155372,
0.4866618,
0.5723023,
0.6766361
],
[
0.0216363,
0.0630223,
0.0686569,
0.0746769,
0.0812998,
0.088588,
0.0967013,
0.1056517,
0.1155668,
0.1265674,
0.1386501,
0.1516843,
0.1661669,
0.1826194,
0.202273,
0.2261884,
0.2548473,
0.2892408,
0.3311692,
0.3810597,
0.4400703,
0.5116272,
0.5970376,
0.7007457
],
[
0.0202147,
0.0725621,
0.07942,
0.0869742,
0.0951128,
0.1045014,
0.1146419,
0.1257908,
0.1380682,
0.1515443,
0.1660017,
0.1822704,
0.2001606,
0.2195924,
0.240935,
0.264828,
0.2931501,
0.3274151,
0.3686449,
0.4186468,
0.4776474,
0.5505991,
0.6348005,
0.7385746
],
[
0.0150787,
0.0815623,
0.0902144,
0.0996215,
0.1087795,
0.1215377,
0.1341526,
0.1479714,
0.16312,
0.1797294,
0.1979541,
0.2179439,
0.2399419,
0.2641237,
0.2902128,
0.3187906,
0.3500307,
0.3849702,
0.4259146,
0.4753191,
0.5349772,
0.6061347,
0.6918478,
0.7946078
],
[
0.0038919,
0.0889039,
0.0996151,
0.1109333,
0.1239623,
0.1381368,
0.1537658,
0.1708905,
0.1896499,
0.2101782,
0.2326452,
0.2584178,
0.2855851,
0.3153558,
0.3479079,
0.3834293,
0.4217549,
0.4639292,
0.5104,
0.5617825,
0.6205536,
0.6914714,
0.7772861,
0.8801543
],
[
-0.0174128,
0.0904454,
0.1041875,
0.119323,
0.1369633,
0.1536695,
0.1733446,
0.1948025,
0.2184806,
0.2458604,
0.2737085,
0.3042583,
0.337767,
0.3744895,
0.4147704,
0.4581683,
0.5055207,
0.5574396,
0.6155707,
0.6775553,
0.7458609,
0.8208509,
0.9061242,
1.0082052
],
[
-0.0546247,
0.083716,
0.1007531,
0.1196457,
0.141342,
0.1652807,
0.1901542,
0.2174233,
0.2472232,
0.2797644,
0.3151621,
0.3530603,
0.3944895,
0.4396584,
0.4890001,
0.543098,
0.6025455,
0.6667593,
0.7370374,
0.8142398,
0.8991808,
0.9905365,
1.0909597,
1.2013948
]
]
},
"cell_rise,delay_template13x24": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00883,
0.01059,
0.01271,
0.01525,
0.0183,
0.02196,
0.02635,
0.03162,
0.03794,
0.04553,
0.05463,
0.06556,
0.07867,
0.09441,
0.11329,
0.13595,
0.16314,
0.19577,
0.23492,
0.2819,
0.33828,
0.40594,
0.48713
],
"values": [
[
0.0162062,
0.0437821,
0.0489961,
0.0554842,
0.0629216,
0.0715609,
0.0821429,
0.0948261,
0.1105726,
0.128554,
0.1502021,
0.1762703,
0.2101308,
0.2463089,
0.2907161,
0.3447169,
0.4107429,
0.4891436,
0.5815628,
0.6934713,
0.8339088,
0.9910081,
1.1877938,
1.4211077
],
[
0.0196179,
0.0468464,
0.0522895,
0.0582436,
0.0657344,
0.074947,
0.0857749,
0.0983035,
0.1133184,
0.1314477,
0.1533108,
0.1817321,
0.2114483,
0.2487434,
0.2938182,
0.3493856,
0.4150241,
0.4914714,
0.5847557,
0.699227,
0.8360938,
0.995064,
1.1900133,
1.4283281
],
[
0.023769,
0.0507079,
0.0561817,
0.0624245,
0.0698207,
0.0787707,
0.0895881,
0.1019627,
0.1172123,
0.1354,
0.1584061,
0.183871,
0.2149678,
0.2537134,
0.2992978,
0.3534598,
0.4174978,
0.4952418,
0.5890506,
0.7009144,
0.8417844,
0.99999,
1.1931516,
1.4280706
],
[
0.0293361,
0.0567347,
0.0618034,
0.068439,
0.0755404,
0.0842008,
0.0953609,
0.1074324,
0.1229965,
0.1414246,
0.1628947,
0.1890098,
0.2228922,
0.2594583,
0.3036543,
0.3577166,
0.4235997,
0.5046724,
0.5948427,
0.7068199,
0.8443733,
1.0079246,
1.2002476,
1.4369145
],
[
0.0361318,
0.0657097,
0.0707147,
0.0777296,
0.0850677,
0.0939444,
0.1046627,
0.117322,
0.1326344,
0.1512796,
0.1728363,
0.1991964,
0.2304026,
0.2678788,
0.3143704,
0.370038,
0.4339496,
0.5106672,
0.6041025,
0.7162826,
0.8535445,
1.0153207,
1.2087387,
1.4433983
],
[
0.0447101,
0.0799556,
0.0849212,
0.0908367,
0.099019,
0.1080316,
0.118817,
0.131272,
0.146534,
0.164897,
0.1863412,
0.2124982,
0.2433569,
0.2808721,
0.3270975,
0.381574,
0.4456928,
0.5235316,
0.6177353,
0.7348642,
0.8658156,
1.0286019,
1.2220762,
1.4561803
],
[
0.0557304,
0.1013125,
0.1069512,
0.1133185,
0.1205104,
0.1293241,
0.1398546,
0.1523424,
0.1671075,
0.185304,
0.2070027,
0.2328322,
0.2650385,
0.3037262,
0.3484782,
0.4017548,
0.4675239,
0.5449192,
0.6384257,
0.7480919,
0.8831802,
1.0492054,
1.2393734,
1.4721364
],
[
0.0697089,
0.1275271,
0.1349181,
0.1430487,
0.1522526,
0.1617511,
0.172384,
0.1849241,
0.2002311,
0.2183393,
0.2397014,
0.2660077,
0.2971716,
0.3357568,
0.380568,
0.4348744,
0.4995642,
0.5784476,
0.6710555,
0.7846256,
0.9217335,
1.0806011,
1.2765028,
1.5100198
],
[
0.0877422,
0.1614823,
0.1708091,
0.1811463,
0.1924082,
0.2046815,
0.2179526,
0.2331164,
0.2489831,
0.266814,
0.2885906,
0.3146869,
0.3457742,
0.383344,
0.4283006,
0.4808056,
0.5454946,
0.6237281,
0.7167794,
0.8289889,
0.9655123,
1.1276993,
1.3251477,
1.5549084
],
[
0.1105993,
0.2054443,
0.2171846,
0.2303192,
0.2448196,
0.2605363,
0.2774062,
0.2959112,
0.3161173,
0.3387897,
0.3619448,
0.388081,
0.4193208,
0.456835,
0.5017494,
0.5564771,
0.6206184,
0.6992942,
0.7931902,
0.9051785,
1.0395154,
1.2001189,
1.3992242,
1.6287618
],
[
0.1411215,
0.260868,
0.2767684,
0.292679,
0.3113349,
0.3310678,
0.3526718,
0.3762351,
0.4032669,
0.4299339,
0.4594616,
0.4932185,
0.5279184,
0.5662734,
0.610858,
0.6649011,
0.7297361,
0.8074661,
0.9007817,
1.013478,
1.147345,
1.3083432,
1.5051994,
1.7348394
],
[
0.182264,
0.3327986,
0.351751,
0.3732638,
0.3966471,
0.422669,
0.4503384,
0.4805578,
0.5141933,
0.5488102,
0.5867692,
0.6282873,
0.6728834,
0.7215768,
0.775141,
0.8313092,
0.8955843,
0.9732908,
1.0661761,
1.1780649,
1.3139105,
1.474258,
1.6686653,
1.9004702
],
[
0.2387631,
0.4247998,
0.4494341,
0.4769762,
0.5067845,
0.5381238,
0.5746927,
0.613136,
0.6551332,
0.7000175,
0.7483318,
0.8014611,
0.8588066,
0.9195754,
0.9865397,
1.0583092,
1.1352707,
1.2212694,
1.3143855,
1.4253001,
1.558916,
1.7197703,
1.9149857,
2.1434929
]
]
},
"fall_transition,delay_template13x24": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00883,
0.01059,
0.01271,
0.01525,
0.0183,
0.02196,
0.02635,
0.03162,
0.03794,
0.04553,
0.05463,
0.06556,
0.07867,
0.09441,
0.11329,
0.13595,
0.16314,
0.19577,
0.23492,
0.2819,
0.33828,
0.40594,
0.48713
],
"values": [
[
0.0038133,
0.0187433,
0.0215502,
0.025154,
0.0297429,
0.0348093,
0.0407151,
0.048175,
0.0571146,
0.0683232,
0.0805307,
0.0956718,
0.1139677,
0.1373304,
0.1633601,
0.1945824,
0.2327277,
0.2791274,
0.3356563,
0.4002158,
0.4781897,
0.5728009,
0.690443,
0.8281678
],
[
0.0052025,
0.0187457,
0.0217541,
0.0251994,
0.0294593,
0.0349311,
0.0410706,
0.0480862,
0.0573957,
0.0680007,
0.0808042,
0.0956939,
0.1141144,
0.1374004,
0.1628898,
0.1949573,
0.2344153,
0.280625,
0.333901,
0.3994644,
0.4782747,
0.5753125,
0.6873934,
0.8262973
],
[
0.0066056,
0.0192808,
0.0221349,
0.0254976,
0.0294403,
0.0348114,
0.0410735,
0.0487385,
0.0573396,
0.0680892,
0.0811658,
0.0966371,
0.1142041,
0.1363157,
0.1644388,
0.1944036,
0.2339576,
0.2802017,
0.3340038,
0.3997401,
0.4783764,
0.5726722,
0.6895799,
0.8264589
],
[
0.0085451,
0.0210605,
0.0235953,
0.026674,
0.0307613,
0.0355447,
0.0409733,
0.0480591,
0.0575185,
0.0680747,
0.0804856,
0.096719,
0.1146977,
0.1380223,
0.1631833,
0.1952551,
0.2338321,
0.2798913,
0.3358766,
0.3993232,
0.4779403,
0.5749712,
0.6898181,
0.8264743
],
[
0.0112061,
0.0254291,
0.0273314,
0.0300973,
0.0334375,
0.0377523,
0.0433067,
0.0495352,
0.0584369,
0.0680957,
0.0804655,
0.0959225,
0.1141158,
0.1367097,
0.1643581,
0.1947802,
0.232807,
0.2792762,
0.3346974,
0.4008721,
0.478268,
0.5746437,
0.6900456,
0.82596
],
[
0.0145896,
0.0317548,
0.0342334,
0.0370634,
0.0399166,
0.0434981,
0.0484576,
0.0545252,
0.0621206,
0.0716819,
0.082708,
0.0974206,
0.1145449,
0.1371841,
0.1637157,
0.1947197,
0.2327498,
0.2785074,
0.3331837,
0.40043,
0.4786493,
0.5748111,
0.6870459,
0.8283328
],
[
0.0193496,
0.0402291,
0.0435699,
0.0466824,
0.0507405,
0.0545158,
0.0583277,
0.063569,
0.0701247,
0.0782337,
0.0890865,
0.102336,
0.1190613,
0.1380674,
0.1638354,
0.1957168,
0.2333888,
0.2797245,
0.3342162,
0.4014497,
0.479518,
0.573411,
0.6891925,
0.8270678
],
[
0.0256901,
0.0517055,
0.0546768,
0.0586049,
0.0632329,
0.0686444,
0.0738398,
0.0799453,
0.086216,
0.0938044,
0.1023535,
0.1138194,
0.1282407,
0.1472287,
0.1707341,
0.1992428,
0.235737,
0.2797555,
0.3345426,
0.4013724,
0.4824173,
0.573904,
0.6899992,
0.8277567
],
[
0.0345137,
0.0666561,
0.0711473,
0.074725,
0.0816992,
0.0859809,
0.0925257,
0.0997968,
0.1080101,
0.1171879,
0.1277503,
0.1381401,
0.1496329,
0.1655669,
0.1859361,
0.2127894,
0.2450857,
0.2871047,
0.3389267,
0.4024637,
0.4791981,
0.5761437,
0.6911841,
0.8253616
],
[
0.0466008,
0.0853887,
0.0907128,
0.0964039,
0.1046618,
0.1095989,
0.1173061,
0.1259625,
0.1355722,
0.1462363,
0.1581144,
0.1712992,
0.185774,
0.2025281,
0.2199187,
0.2422098,
0.2715923,
0.3081691,
0.3558322,
0.4124163,
0.4855591,
0.5780579,
0.6924714,
0.8255359
],
[
0.0630504,
0.1131097,
0.1183516,
0.1248144,
0.1333894,
0.1409997,
0.1506173,
0.1611613,
0.1728328,
0.185736,
0.1999976,
0.2152945,
0.2319858,
0.2513305,
0.2735866,
0.2969464,
0.3240551,
0.3539394,
0.3943863,
0.4461288,
0.5147478,
0.5978151,
0.700892,
0.8331996
],
[
0.0859203,
0.1467872,
0.1545407,
0.163192,
0.172568,
0.1824524,
0.1938846,
0.2067241,
0.2209657,
0.2369809,
0.2539959,
0.2727255,
0.2932464,
0.3168324,
0.3427537,
0.3713554,
0.402577,
0.4352229,
0.4738293,
0.5181669,
0.5746006,
0.6492914,
0.7455255,
0.8648868
],
[
0.1178056,
0.194941,
0.2041109,
0.2141427,
0.2265765,
0.2410556,
0.2548814,
0.2701267,
0.286894,
0.3056058,
0.3258411,
0.3480767,
0.373221,
0.4012945,
0.4322096,
0.4660909,
0.5030663,
0.5432239,
0.5887226,
0.641672,
0.6969569,
0.7593095,
0.8419874,
0.9458093
]
]
},
"related_pin": "A",
"rise_transition,delay_template13x24": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00883,
0.01059,
0.01271,
0.01525,
0.0183,
0.02196,
0.02635,
0.03162,
0.03794,
0.04553,
0.05463,
0.06556,
0.07867,
0.09441,
0.11329,
0.13595,
0.16314,
0.19577,
0.23492,
0.2819,
0.33828,
0.40594,
0.48713
],
"values": [
[
0.0084038,
0.0447639,
0.0521892,
0.0614252,
0.0715122,
0.0834384,
0.098723,
0.1167509,
0.1392721,
0.1635591,
0.1949974,
0.2319977,
0.2772449,
0.3312461,
0.3953036,
0.4719399,
0.5650649,
0.6786827,
0.8093116,
0.9688553,
1.1614438,
1.3928256,
1.6678313,
1.9997979
],
[
0.0084806,
0.0450561,
0.0522677,
0.0608081,
0.0714589,
0.0847115,
0.0997783,
0.1164226,
0.138426,
0.1638218,
0.1947653,
0.2326881,
0.2771274,
0.3312539,
0.3942839,
0.4724174,
0.5669786,
0.6759625,
0.8093182,
0.9690829,
1.159935,
1.3932594,
1.671549,
1.9989297
],
[
0.0094717,
0.0447104,
0.0524686,
0.0607173,
0.071581,
0.0833965,
0.0994657,
0.1168611,
0.1382709,
0.1641382,
0.1962425,
0.2331699,
0.2780726,
0.3314307,
0.3967956,
0.4739278,
0.564814,
0.6762998,
0.8086676,
0.9680091,
1.1606531,
1.3933213,
1.6694547,
1.9994792
],
[
0.0122847,
0.0447372,
0.0520684,
0.060795,
0.0714576,
0.0838899,
0.0986034,
0.1173173,
0.1396198,
0.1639863,
0.1953387,
0.232917,
0.2774352,
0.3326686,
0.3952312,
0.4716845,
0.5650874,
0.6773755,
0.809818,
0.9688572,
1.1608997,
1.3917145,
1.6701412,
2.0006463
],
[
0.0161589,
0.0454905,
0.0522545,
0.0609217,
0.0716215,
0.0840877,
0.0993648,
0.1162262,
0.1392192,
0.1651014,
0.1957301,
0.2340035,
0.2772928,
0.3317731,
0.3965122,
0.4731921,
0.5663663,
0.6747887,
0.8092241,
0.9677739,
1.1611818,
1.392166,
1.6696808,
2.0006257
],
[
0.0207442,
0.048749,
0.0549579,
0.062845,
0.0720441,
0.084195,
0.0991279,
0.1168508,
0.1381429,
0.1640171,
0.1954184,
0.2327211,
0.2775034,
0.331531,
0.3966566,
0.4725109,
0.5647711,
0.6746153,
0.8090977,
0.9710878,
1.1623348,
1.3928045,
1.6687718,
2.0007269
],
[
0.0273784,
0.0566878,
0.0616597,
0.0683305,
0.0770584,
0.0874687,
0.1008511,
0.1178155,
0.1392307,
0.1644266,
0.1948612,
0.23239,
0.2776414,
0.3314652,
0.3970704,
0.4722553,
0.5646397,
0.6758928,
0.8096142,
0.9708454,
1.1614339,
1.3910044,
1.6697088,
1.9979749
],
[
0.0362214,
0.070897,
0.0756192,
0.0812437,
0.0885822,
0.0974949,
0.1093784,
0.1246286,
0.1437837,
0.166666,
0.1961345,
0.2333786,
0.2771754,
0.3315511,
0.3953491,
0.4718601,
0.5650366,
0.6782245,
0.8095194,
0.9690676,
1.1624243,
1.3937725,
1.6697905,
2.0014903
],
[
0.0480338,
0.0908479,
0.0969999,
0.1035021,
0.1110988,
0.1182939,
0.1283803,
0.1411686,
0.1572494,
0.1779582,
0.2049426,
0.238878,
0.2807139,
0.3310884,
0.3954235,
0.4735595,
0.5664408,
0.6764919,
0.8091877,
0.9685155,
1.1622112,
1.3928947,
1.6672242,
2.000432
],
[
0.0635708,
0.1177652,
0.124698,
0.1328069,
0.1415488,
0.1511036,
0.1614048,
0.1726994,
0.1865102,
0.2038221,
0.2263436,
0.2564084,
0.2938292,
0.3419875,
0.400306,
0.4737925,
0.5652713,
0.677081,
0.8087188,
0.9704051,
1.1614364,
1.3909941,
1.6676674,
2.0012532
],
[
0.0837403,
0.1540458,
0.1627236,
0.1723183,
0.1821237,
0.1941422,
0.2069113,
0.2206704,
0.2382083,
0.2551005,
0.2736837,
0.2995121,
0.3298704,
0.3706228,
0.4231203,
0.4915726,
0.5753733,
0.6788377,
0.8113789,
0.9697697,
1.1616438,
1.3917996,
1.6691006,
1.9993349
],
[
0.1104663,
0.200282,
0.2111782,
0.2229231,
0.236041,
0.2506551,
0.2662889,
0.2844617,
0.3030174,
0.3242478,
0.3470151,
0.3715522,
0.398627,
0.4350841,
0.479573,
0.5369796,
0.6118289,
0.7086021,
0.8295308,
0.9762282,
1.1643749,
1.3922677,
1.6682813,
2.0002457
],
[
0.1478977,
0.2620218,
0.2767052,
0.2922193,
0.3095288,
0.330307,
0.3469017,
0.3670093,
0.3897636,
0.4165698,
0.4457023,
0.4761523,
0.5097643,
0.5446757,
0.5864662,
0.6361042,
0.6978702,
0.7828373,
0.8889177,
1.0228454,
1.1942171,
1.4083498,
1.6726834,
2.0001334
]
]
},
"timing_sense": "negative_unate"
}
}
}