blob: 71b4642f10966883d2ef5f0b09a90a604f14fb5b [file] [log] [blame]
{
"area": 9.5904,
"cell_footprint": "sky130_fd_sc_ls__clkdlyinv",
"cell_leakage_power": 0.0002409522,
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"leakage_power": [
{
"value": 0.0003062,
"when": "A"
},
{
"value": 0.0001757,
"when": "!A"
}
],
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,A": {
"capacitance": 0.002089,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.001927,
"max_transition": 5.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.00225
},
"pin,Y": {
"direction": "output",
"function": "(!A)",
"internal_power": {
"fall_power,pwr_template13x15": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.481633,
2.222333,
3.333333,
5
],
"index_2": [
0,
0.0095,
0.0114,
0.01368,
0.01642,
0.0197,
0.02364,
0.02837,
0.03404,
0.04085,
0.04902,
0.05883,
0.0706,
0.08472,
0.10167
],
"values": [
[
0.0074031,
-0.0018547,
-0.0038138,
-0.0061578,
-0.0089923,
-0.0123927,
-0.0164781,
-0.0213845,
-0.0272499,
-0.0342899,
-0.0427769,
-0.0529392,
-0.0651322,
-0.0798043,
-0.0973755
],
[
0.0073496,
-0.0019183,
-0.003877,
-0.0062319,
-0.0090587,
-0.0124565,
-0.0165405,
-0.0214415,
-0.0273132,
-0.034372,
-0.042843,
-0.0530121,
-0.0652201,
-0.0798656,
-0.0974489
],
[
0.0072797,
-0.0019888,
-0.0039394,
-0.0062949,
-0.0091278,
-0.0125233,
-0.0166014,
-0.0215127,
-0.027388,
-0.0344407,
-0.0429162,
-0.0530804,
-0.0652894,
-0.0799312,
-0.0974784
],
[
0.0072039,
-0.0020581,
-0.0040163,
-0.0063682,
-0.0092016,
-0.0125935,
-0.0166722,
-0.0215749,
-0.0274594,
-0.0345159,
-0.0429754,
-0.0531498,
-0.0653739,
-0.0799921,
-0.0975787
],
[
0.0070972,
-0.0021574,
-0.0041068,
-0.0064643,
-0.0092968,
-0.0126902,
-0.0167671,
-0.0216765,
-0.0275516,
-0.0346072,
-0.0430772,
-0.0532429,
-0.0654514,
-0.0800944,
-0.0976545
],
[
0.007009,
-0.0022654,
-0.0042084,
-0.0065637,
-0.0093937,
-0.0127789,
-0.0168613,
-0.0217731,
-0.0276423,
-0.0346996,
-0.0431704,
-0.053354,
-0.0655356,
-0.0801918,
-0.0977411
],
[
0.0069154,
-0.0023426,
-0.00429,
-0.0066477,
-0.0094788,
-0.0128716,
-0.0169477,
-0.0218503,
-0.0277371,
-0.0347882,
-0.0432657,
-0.0534382,
-0.0656233,
-0.0802718,
-0.097842
],
[
0.0068417,
-0.0024334,
-0.0043843,
-0.0067355,
-0.0095644,
-0.0129567,
-0.0170356,
-0.0219369,
-0.0278152,
-0.0348716,
-0.0433414,
-0.0535154,
-0.065716,
-0.0803576,
-0.0979293
],
[
0.0067708,
-0.002495,
-0.0044499,
-0.0068043,
-0.0096313,
-0.0130213,
-0.0170989,
-0.0219983,
-0.0278775,
-0.0349325,
-0.0434003,
-0.0535713,
-0.0657793,
-0.0804354,
-0.0979854
],
[
0.0069756,
-0.0025847,
-0.0045329,
-0.0068883,
-0.0097136,
-0.0131051,
-0.0171774,
-0.022078,
-0.0279529,
-0.0350064,
-0.0434866,
-0.0536495,
-0.0658419,
-0.0804873,
-0.0980572
],
[
0.0069395,
-0.0025576,
-0.0045251,
-0.0069119,
-0.0097776,
-0.0131617,
-0.0172278,
-0.0221231,
-0.0280082,
-0.0350633,
-0.0435346,
-0.053709,
-0.0659096,
-0.0805534,
-0.0981285
],
[
0.0068658,
-0.0026339,
-0.004574,
-0.0069293,
-0.0097405,
-0.013125,
-0.0172134,
-0.0221626,
-0.0280543,
-0.0351237,
-0.0435947,
-0.0537634,
-0.0659666,
-0.080608,
-0.0981825
],
[
0.0068013,
-0.0027005,
-0.0046443,
-0.0069849,
-0.0098005,
-0.0131846,
-0.017255,
-0.0221359,
-0.0280296,
-0.0350676,
-0.0435909,
-0.0538214,
-0.0659801,
-0.0806572,
-0.0981993
]
]
},
"related_pin": "A",
"rise_power,pwr_template13x15": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.481633,
2.222333,
3.333333,
5
],
"index_2": [
0,
0.0095,
0.0114,
0.01368,
0.01642,
0.0197,
0.02364,
0.02837,
0.03404,
0.04085,
0.04902,
0.05883,
0.0706,
0.08472,
0.10167
],
"values": [
[
0.0131373,
0.0231645,
0.0250416,
0.027492,
0.0302822,
0.033602,
0.0376107,
0.0424874,
0.0483593,
0.0552805,
0.0637319,
0.0737908,
0.0858606,
0.1004038,
0.1177989
],
[
0.0130745,
0.0230437,
0.0250731,
0.0273536,
0.0302364,
0.033523,
0.0376843,
0.0424176,
0.0482437,
0.055296,
0.0637021,
0.0739158,
0.0857129,
0.1002051,
0.1176684
],
[
0.0130269,
0.0230855,
0.0249942,
0.0273701,
0.0301024,
0.033533,
0.0375855,
0.0424267,
0.048422,
0.0552917,
0.0636976,
0.0736533,
0.0858033,
0.1003227,
0.1177213
],
[
0.0129681,
0.0229259,
0.0249605,
0.0272138,
0.0300705,
0.0334754,
0.037577,
0.0423075,
0.0483227,
0.0551793,
0.0636061,
0.0736335,
0.0856211,
0.100135,
0.1176215
],
[
0.0129036,
0.022865,
0.0248934,
0.0271548,
0.0300396,
0.0333494,
0.037399,
0.0422518,
0.04807,
0.0551077,
0.0636516,
0.0734939,
0.0856904,
0.1003582,
0.1175504
],
[
0.0128422,
0.022887,
0.0248077,
0.0271629,
0.0299926,
0.0332713,
0.0373614,
0.0421554,
0.0480091,
0.0550864,
0.0634777,
0.073464,
0.0856031,
0.1000224,
0.1175615
],
[
0.0127598,
0.0227275,
0.0247144,
0.0270916,
0.0298952,
0.0332883,
0.0372386,
0.0422889,
0.0480035,
0.055115,
0.0633375,
0.0736211,
0.0854799,
0.1000783,
0.1174175
],
[
0.0126932,
0.0226472,
0.0246785,
0.0269567,
0.0298443,
0.0331322,
0.0372914,
0.0420286,
0.0480484,
0.0549138,
0.0633173,
0.073533,
0.0853393,
0.0998258,
0.117307
],
[
0.0126235,
0.0225812,
0.0246385,
0.0269423,
0.0296789,
0.0331579,
0.0371038,
0.0420485,
0.0477996,
0.0548395,
0.0632329,
0.0734104,
0.0853167,
0.099913,
0.1172368
],
[
0.012535,
0.022586,
0.0245193,
0.0268762,
0.0296794,
0.0330114,
0.037139,
0.0419858,
0.047834,
0.0548194,
0.0631728,
0.0732601,
0.0853565,
0.0997499,
0.1172945
],
[
0.0122778,
0.022484,
0.0244079,
0.0268176,
0.0296325,
0.0330206,
0.0370522,
0.0418178,
0.047838,
0.0547507,
0.0631197,
0.0732841,
0.0853713,
0.0996897,
0.1172247
],
[
0.0122363,
0.0223467,
0.0243612,
0.0267845,
0.0295717,
0.032937,
0.0370012,
0.041869,
0.0476959,
0.0546993,
0.0630703,
0.073143,
0.0852397,
0.0996317,
0.117173
],
[
0.012178,
0.0221839,
0.0241971,
0.0265919,
0.0292625,
0.0326738,
0.0370009,
0.0417947,
0.0476334,
0.0546701,
0.063023,
0.073056,
0.0850694,
0.0996024,
0.1169566
]
]
}
},
"max_capacitance": 0.10167,
"max_transition": 2.224172,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": {
"cell_fall,delay_template13x15": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.0095,
0.0114,
0.01368,
0.01642,
0.0197,
0.02364,
0.02837,
0.03404,
0.04085,
0.04902,
0.05883,
0.0706,
0.08472,
0.10167
],
"values": [
[
0.2875945,
0.41158,
0.4334423,
0.4595849,
0.4909487,
0.5282338,
0.5730725,
0.6270905,
0.6908304,
0.7687269,
0.8616041,
0.9728172,
1.1065939,
1.2653711,
1.4590256
],
[
0.3010651,
0.4251017,
0.4470024,
0.4730767,
0.5044846,
0.5418201,
0.5865789,
0.640571,
0.7047434,
0.7822252,
0.8753339,
0.9860777,
1.1203696,
1.2788919,
1.4729586
],
[
0.3167762,
0.440861,
0.4628431,
0.4889714,
0.5200289,
0.5576796,
0.6024082,
0.6559487,
0.7211309,
0.79766,
0.891013,
1.0015948,
1.1363402,
1.2955994,
1.4884181
],
[
0.3408725,
0.4646782,
0.4865121,
0.5127604,
0.5440586,
0.5812316,
0.6262745,
0.6800025,
0.7443428,
0.822049,
0.9140875,
1.0267988,
1.1590788,
1.3205098,
1.5106971
],
[
0.3765211,
0.5004843,
0.5224268,
0.5486618,
0.5798916,
0.6172021,
0.6622031,
0.7156998,
0.7803929,
0.8578647,
0.950478,
1.0625238,
1.1957945,
1.3562571,
1.5482815
],
[
0.4313762,
0.5552454,
0.5772678,
0.6033968,
0.6347932,
0.6721472,
0.7167041,
0.7707993,
0.8351644,
0.9123183,
1.0056984,
1.1160565,
1.2506582,
1.409546,
1.603046
],
[
0.5082323,
0.6322393,
0.6541263,
0.6801757,
0.7114493,
0.7487344,
0.7937787,
0.847426,
0.9118592,
0.9896484,
1.0819307,
1.1939026,
1.3258998,
1.4877412,
1.6794067
],
[
0.613773,
0.7377657,
0.7597782,
0.7859783,
0.8170452,
0.8546786,
0.8994799,
0.9531262,
1.018008,
1.0947034,
1.1896671,
1.3006362,
1.4318906,
1.5945056,
1.7881688
],
[
0.7565041,
0.8807488,
0.9028543,
0.9288789,
0.9603405,
0.997696,
1.0423176,
1.0964221,
1.1606038,
1.2385244,
1.3302017,
1.4445301,
1.5753931,
1.7361118,
1.9299045
],
[
0.9508744,
1.0749794,
1.0969634,
1.1230249,
1.1544589,
1.1917903,
1.2363649,
1.2900857,
1.3545283,
1.4320184,
1.5250453,
1.6368183,
1.7697569,
1.9314292,
2.122438
],
[
1.2187502,
1.343028,
1.3650964,
1.3912641,
1.4225019,
1.4601435,
1.5047107,
1.5585832,
1.623529,
1.700314,
1.7929084,
1.9040429,
2.0376956,
2.1988267,
2.391302
],
[
1.5845444,
1.7086965,
1.7308066,
1.7570636,
1.7882953,
1.8257877,
1.8706994,
1.9243362,
1.9887778,
2.0662121,
2.1586932,
2.2698401,
2.4032376,
2.5641758,
2.7553202
],
[
2.085627,
2.2108364,
2.2328059,
2.2591606,
2.2905939,
2.3279123,
2.3729725,
2.4267623,
2.4910933,
2.5687452,
2.6613189,
2.7722256,
2.9060494,
3.0664524,
3.2577496
]
]
},
"cell_rise,delay_template13x15": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.0095,
0.0114,
0.01368,
0.01642,
0.0197,
0.02364,
0.02837,
0.03404,
0.04085,
0.04902,
0.05883,
0.0706,
0.08472,
0.10167
],
"values": [
[
0.2886343,
0.4466827,
0.4766269,
0.5137014,
0.5568109,
0.6099067,
0.6720128,
0.7488937,
0.8375492,
0.9478747,
1.0760808,
1.2347985,
1.4196563,
1.6475216,
1.9134179
],
[
0.3036242,
0.4613836,
0.4921721,
0.5282295,
0.5725881,
0.6242424,
0.6879661,
0.7622708,
0.8538084,
0.9609135,
1.0923812,
1.248167,
1.4352081,
1.6610348,
1.9296973
],
[
0.3209887,
0.4789824,
0.5091213,
0.5460419,
0.5893139,
0.6422793,
0.7042977,
0.7800083,
0.87102,
0.9787936,
1.1100968,
1.2650837,
1.4549,
1.6768602,
1.9503759
],
[
0.3471651,
0.5046922,
0.5356674,
0.5715339,
0.6159949,
0.6675555,
0.7316765,
0.8057178,
0.8973349,
1.0042723,
1.1360715,
1.2915478,
1.479094,
1.7046311,
1.9725537
],
[
0.387103,
0.5447259,
0.5756388,
0.6116224,
0.6559692,
0.7075487,
0.7705777,
0.8461621,
0.937351,
1.0445341,
1.1763608,
1.3301977,
1.5198854,
1.7440472,
2.0129234
],
[
0.4481824,
0.6060013,
0.6364469,
0.6730708,
0.7167251,
0.7693254,
0.8318545,
0.9073631,
0.9989303,
1.1056359,
1.2378419,
1.3914729,
1.5825137,
1.803132,
2.0776585
],
[
0.5401125,
0.6980058,
0.7281249,
0.7650273,
0.8085436,
0.86111,
0.923634,
1.0000248,
1.0890699,
1.1989915,
1.3275833,
1.4856968,
1.6721224,
1.8980861,
2.1676771
],
[
0.6773747,
0.8349734,
0.8657944,
0.9018188,
0.9462722,
0.9979038,
1.0616784,
1.1359849,
1.2275757,
1.3346055,
1.4661613,
1.6219274,
1.8089334,
2.0347934,
2.303606
],
[
0.8753884,
1.0331039,
1.0635367,
1.1000294,
1.1440724,
1.1961689,
1.2589612,
1.33494,
1.4244674,
1.5350614,
1.6671475,
1.8189746,
2.0077968,
2.2323867,
2.5008502
],
[
1.1498287,
1.3074717,
1.3380731,
1.3744395,
1.4184409,
1.4709227,
1.5332404,
1.6101926,
1.6992397,
1.8084254,
1.9401025,
2.094581,
2.2812716,
2.5055376,
2.7762728
],
[
1.5329922,
1.6909489,
1.7219226,
1.7579834,
1.8023211,
1.8539447,
1.9168389,
1.9922048,
2.0823772,
2.1914084,
2.3215312,
2.4820237,
2.6686673,
2.8905789,
3.1595366
],
[
2.0689914,
2.2270281,
2.2576689,
2.2941566,
2.338475,
2.3904294,
2.4534341,
2.5287665,
2.6197613,
2.7273532,
2.8570784,
3.01338,
3.2018939,
3.4265295,
3.6990264
],
[
2.8210834,
2.9800862,
3.0105232,
3.0471108,
3.0906078,
3.1429437,
3.2060472,
3.2817178,
3.3723051,
3.4800862,
3.6122756,
3.7665164,
3.9574557,
4.1781951,
4.4480477
]
]
},
"fall_transition,delay_template13x15": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.0095,
0.0114,
0.01368,
0.01642,
0.0197,
0.02364,
0.02837,
0.03404,
0.04085,
0.04902,
0.05883,
0.0706,
0.08472,
0.10167
],
"values": [
[
0.0338054,
0.1713717,
0.1975879,
0.2331716,
0.2709921,
0.3211727,
0.3802267,
0.4492696,
0.5356285,
0.6337203,
0.7572228,
0.9048615,
1.0823245,
1.2886745,
1.5426213
],
[
0.0344261,
0.1714773,
0.19765,
0.232432,
0.271179,
0.3214586,
0.3778575,
0.4483179,
0.5341759,
0.6348284,
0.7568811,
0.9053183,
1.0797754,
1.2895289,
1.5462841
],
[
0.0344077,
0.1707985,
0.1993413,
0.2315564,
0.2724461,
0.3198953,
0.3796252,
0.4494402,
0.535834,
0.6342186,
0.7561538,
0.9035522,
1.080031,
1.2879638,
1.5398871
],
[
0.0338428,
0.1705344,
0.1982591,
0.2334312,
0.271236,
0.3219319,
0.3778318,
0.4508804,
0.5340154,
0.6361434,
0.7580927,
0.904108,
1.0775967,
1.2859066,
1.541855
],
[
0.0343809,
0.1711509,
0.1989136,
0.2305051,
0.2729317,
0.3193747,
0.3794904,
0.4496267,
0.5327183,
0.6364578,
0.7589871,
0.9043437,
1.0814188,
1.2893531,
1.5442667
],
[
0.0338728,
0.1713126,
0.197911,
0.2324086,
0.2715477,
0.3198312,
0.3788379,
0.4478198,
0.5341333,
0.63328,
0.755989,
0.9030051,
1.0825706,
1.2897283,
1.5431854
],
[
0.0342358,
0.1698291,
0.1992189,
0.2304824,
0.2729995,
0.321976,
0.3780725,
0.4500707,
0.5331336,
0.6363401,
0.7584875,
0.9018548,
1.0792118,
1.2886854,
1.5387681
],
[
0.0338928,
0.1706504,
0.199861,
0.2312589,
0.2736909,
0.3192836,
0.3806875,
0.4487911,
0.5329421,
0.6347596,
0.7574774,
0.9028927,
1.0790719,
1.2903767,
1.542768
],
[
0.034183,
0.1717879,
0.197529,
0.2317575,
0.2717671,
0.3219476,
0.3772737,
0.4501509,
0.5328564,
0.636071,
0.7583721,
0.9049025,
1.0763674,
1.2905649,
1.5413366
],
[
0.0343175,
0.1716658,
0.1988742,
0.2311649,
0.2715221,
0.3201919,
0.3790874,
0.4486347,
0.5355828,
0.6362059,
0.7569838,
0.9051224,
1.0801149,
1.2897348,
1.545577
],
[
0.0349623,
0.1711849,
0.1994006,
0.2313127,
0.2734254,
0.3215153,
0.3796884,
0.4499755,
0.5318312,
0.634614,
0.7591904,
0.9039292,
1.0817817,
1.2903075,
1.5448252
],
[
0.0350131,
0.1708373,
0.1993818,
0.2319375,
0.2732242,
0.3220516,
0.3797773,
0.4507713,
0.5343117,
0.6334112,
0.7567104,
0.9018013,
1.0765188,
1.2883743,
1.5435326
],
[
0.0358317,
0.1724165,
0.1998817,
0.2332349,
0.2729106,
0.3217666,
0.3808801,
0.4496454,
0.5346556,
0.6353822,
0.756079,
0.9051589,
1.0793761,
1.2895048,
1.54371
]
]
},
"related_pin": "A",
"rise_transition,delay_template13x15": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.0095,
0.0114,
0.01368,
0.01642,
0.0197,
0.02364,
0.02837,
0.03404,
0.04085,
0.04902,
0.05883,
0.0706,
0.08472,
0.10167
],
"values": [
[
0.0351756,
0.2366889,
0.2797048,
0.3271797,
0.3857155,
0.4557472,
0.5437559,
0.642257,
0.767749,
0.9101361,
1.0869974,
1.294751,
1.5524415,
1.8564988,
2.2147102
],
[
0.0353849,
0.2375857,
0.2792271,
0.3279967,
0.3877287,
0.4584662,
0.5437528,
0.6429459,
0.7676251,
0.9119609,
1.0886693,
1.3009116,
1.5483604,
1.8552486,
2.2224935
],
[
0.0352277,
0.2381604,
0.2783816,
0.328419,
0.3873991,
0.458245,
0.5412404,
0.6446944,
0.7684609,
0.9123953,
1.0871781,
1.2988366,
1.5516642,
1.852099,
2.2213515
],
[
0.0353051,
0.238431,
0.279627,
0.3289408,
0.3863627,
0.4549998,
0.5439134,
0.6429836,
0.7681863,
0.9125037,
1.0879402,
1.2966423,
1.5499717,
1.8555236,
2.221034
],
[
0.0353852,
0.2377571,
0.2793486,
0.3282843,
0.3873629,
0.4573117,
0.5418847,
0.6449993,
0.7637603,
0.9113683,
1.0904352,
1.2977526,
1.5530979,
1.8565061,
2.2165052
],
[
0.0351872,
0.2380985,
0.2769358,
0.3283767,
0.3855788,
0.4581335,
0.5417872,
0.6436967,
0.7633021,
0.9120424,
1.0869424,
1.2984762,
1.54917,
1.8542713,
2.2241724
],
[
0.0352402,
0.2365687,
0.2802734,
0.3261005,
0.3867792,
0.4594172,
0.5418688,
0.646346,
0.7658644,
0.9152973,
1.0880562,
1.3020529,
1.5481847,
1.8568506,
2.2171347
],
[
0.035415,
0.2376968,
0.2792905,
0.3280231,
0.3877081,
0.4584061,
0.5437634,
0.642739,
0.7678152,
0.9118737,
1.0886436,
1.3008603,
1.5499462,
1.8554279,
2.2191111
],
[
0.0354239,
0.2363321,
0.2793012,
0.3260553,
0.387385,
0.4593086,
0.5427167,
0.6440802,
0.763589,
0.9138556,
1.0893385,
1.3019869,
1.5502377,
1.8567478,
2.2169668
],
[
0.0357655,
0.2378951,
0.2770523,
0.327969,
0.3872689,
0.4574434,
0.5429432,
0.6450381,
0.7659993,
0.9127666,
1.0866723,
1.3016253,
1.5506552,
1.8567328,
2.2203537
],
[
0.0365197,
0.2381323,
0.2773545,
0.3257741,
0.3853929,
0.4554987,
0.5419635,
0.6434482,
0.7669437,
0.9122275,
1.0878497,
1.2997261,
1.5525951,
1.8565508,
2.2200533
],
[
0.037327,
0.2375097,
0.2798379,
0.3289397,
0.3851199,
0.4566238,
0.5417434,
0.6408514,
0.7635544,
0.9105172,
1.0884066,
1.2969049,
1.5512748,
1.854618,
2.2212987
],
[
0.0388643,
0.2385137,
0.2791996,
0.327864,
0.3883892,
0.4576385,
0.5427018,
0.6436625,
0.7666383,
0.9114673,
1.0840939,
1.2972756,
1.5490549,
1.8552574,
2.2239128
]
]
},
"timing_sense": "negative_unate"
}
}
}