blob: 95133536e84f64101d58f94cf98fb31be6a1cb50 [file] [log] [blame]
{
"area": 19.1808,
"cell_footprint": "sky130_fd_sc_ls__buf",
"cell_leakage_power": 0.0003684326,
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"leakage_power": [
{
"value": 0.0004402,
"when": "A"
},
{
"value": 0.0002966,
"when": "!A"
}
],
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,A": {
"capacitance": 0.006387,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.006097,
"max_transition": 5.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.006676
},
"pin,X": {
"direction": "output",
"function": "(A)",
"internal_power": {
"fall_power,pwr_template13x24": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.481633,
2.222333,
3.333333,
5
],
"index_2": [
0,
0.00935,
0.01122,
0.01346,
0.01615,
0.01938,
0.02326,
0.02791,
0.03349,
0.04019,
0.04823,
0.05788,
0.06945,
0.08334,
0.10001,
0.12001,
0.14401,
0.17281,
0.20737,
0.24884,
0.29861,
0.35833,
0.42999,
0.51599
],
"values": [
[
0.0326924,
0.0264418,
0.0251877,
0.0234776,
0.0218827,
0.0192758,
0.0164956,
0.0130678,
0.0089507,
0.0039223,
-0.0022151,
-0.0096894,
-0.0187816,
-0.0298008,
-0.0431869,
-0.0593004,
-0.0787803,
-0.1021994,
-0.1303788,
-0.1642868,
-0.2049874,
-0.2538648,
-0.3125395,
-0.3829749
],
[
0.0325304,
0.0263388,
0.0250176,
0.0234677,
0.0214669,
0.0191762,
0.0164031,
0.0129924,
0.0088368,
0.0039173,
-0.0023104,
-0.0097876,
-0.0189448,
-0.0299549,
-0.0432721,
-0.0593924,
-0.0788783,
-0.1022939,
-0.1304638,
-0.1643808,
-0.2050819,
-0.2539651,
-0.3126414,
-0.3830739
],
[
0.032418,
0.0262554,
0.0248956,
0.0232483,
0.0216447,
0.0190715,
0.016303,
0.0128631,
0.0087346,
0.0036999,
-0.0024507,
-0.0098919,
-0.0190361,
-0.0300547,
-0.0433649,
-0.0595072,
-0.0789738,
-0.1024272,
-0.130564,
-0.1644375,
-0.2051836,
-0.2540517,
-0.3127578,
-0.3831671
],
[
0.0322496,
0.0260578,
0.0247581,
0.0232357,
0.021428,
0.018977,
0.0161299,
0.0127701,
0.0085933,
0.003609,
-0.0025068,
-0.0100181,
-0.0191259,
-0.030181,
-0.0434929,
-0.0595994,
-0.0790836,
-0.1024946,
-0.1306717,
-0.1645864,
-0.2052937,
-0.2541648,
-0.3128464,
-0.3832887
],
[
0.0321578,
0.0259183,
0.0246917,
0.0230787,
0.0212182,
0.0187838,
0.0159889,
0.0126323,
0.0084984,
0.0034263,
-0.0027122,
-0.0101662,
-0.0192689,
-0.0303003,
-0.0436171,
-0.0597468,
-0.0791848,
-0.1026141,
-0.1308103,
-0.1646914,
-0.2054214,
-0.2543053,
-0.3129854,
-0.3834207
],
[
0.032085,
0.0257889,
0.0245332,
0.0229888,
0.0211229,
0.0187841,
0.0158783,
0.0125469,
0.0084485,
0.0032699,
-0.0028424,
-0.0102919,
-0.0193714,
-0.0304389,
-0.0437509,
-0.0598665,
-0.0793277,
-0.1027252,
-0.130901,
-0.1648147,
-0.2055223,
-0.2544064,
-0.3130841,
-0.3835298
],
[
0.0319316,
0.0257487,
0.0243992,
0.0228876,
0.0209677,
0.018517,
0.0157477,
0.0123868,
0.0082284,
0.0032291,
-0.0029876,
-0.0104245,
-0.0195035,
-0.0305693,
-0.0438783,
-0.05998,
-0.0794502,
-0.1028572,
-0.1310056,
-0.1649207,
-0.205625,
-0.2545166,
-0.313193,
-0.3836347
],
[
0.0317996,
0.02566,
0.0242652,
0.0228707,
0.0207119,
0.0184023,
0.0155963,
0.0121615,
0.008038,
0.0030117,
-0.0031442,
-0.0106018,
-0.0196797,
-0.0307069,
-0.0440103,
-0.0600999,
-0.0795565,
-0.1029594,
-0.1311348,
-0.1649843,
-0.2057022,
-0.2545871,
-0.3132603,
-0.3837003
],
[
0.0317893,
0.0254904,
0.0241306,
0.0225898,
0.0207369,
0.0182576,
0.0153998,
0.0120282,
0.0078256,
0.0028334,
-0.0032938,
-0.0107557,
-0.0198774,
-0.0308192,
-0.044177,
-0.060243,
-0.0797148,
-0.1031127,
-0.1312299,
-0.1650859,
-0.205785,
-0.2546597,
-0.3133275,
-0.3837605
],
[
0.0316659,
0.0252954,
0.0240368,
0.0225679,
0.0203497,
0.0182296,
0.0152236,
0.0118314,
0.007621,
0.0025935,
-0.0035442,
-0.0109683,
-0.0200367,
-0.0310487,
-0.0443809,
-0.0604241,
-0.0798524,
-0.103235,
-0.1313556,
-0.1652127,
-0.2059091,
-0.2547681,
-0.3134262,
-0.3838555
],
[
0.0313997,
0.0251266,
0.0237083,
0.0221254,
0.0202358,
0.0178844,
0.0151289,
0.0116988,
0.0075371,
0.0023709,
-0.0038081,
-0.0112629,
-0.0203242,
-0.0313569,
-0.0446247,
-0.0606906,
-0.0800887,
-0.103469,
-0.1315904,
-0.1653854,
-0.2060711,
-0.2549116,
-0.3135505,
-0.3839609
],
[
0.0312187,
0.0248555,
0.0236281,
0.0219361,
0.0200054,
0.0177249,
0.0147424,
0.0113521,
0.0071529,
0.0020633,
-0.0040771,
-0.0115248,
-0.0205997,
-0.0316067,
-0.0448611,
-0.0609288,
-0.0803323,
-0.1036763,
-0.1317753,
-0.1655911,
-0.2062377,
-0.2550597,
-0.3136783,
-0.3840731
],
[
0.0310043,
0.0244939,
0.0232158,
0.0216634,
0.0197223,
0.0174825,
0.0144602,
0.0111096,
0.0069642,
0.0018444,
-0.0043813,
-0.0118217,
-0.0208497,
-0.0318898,
-0.0451566,
-0.061212,
-0.080584,
-0.1039423,
-0.1320442,
-0.1658443,
-0.2064823,
-0.2552877,
-0.3138924,
-0.3842664
]
]
},
"related_pin": "A",
"rise_power,pwr_template13x24": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.481633,
2.222333,
3.333333,
5
],
"index_2": [
0,
0.00935,
0.01122,
0.01346,
0.01615,
0.01938,
0.02326,
0.02791,
0.03349,
0.04019,
0.04823,
0.05788,
0.06945,
0.08334,
0.10001,
0.12001,
0.14401,
0.17281,
0.20737,
0.24884,
0.29861,
0.35833,
0.42999,
0.51599
],
"values": [
[
0.0252852,
0.0335098,
0.0350691,
0.037029,
0.0393134,
0.0420917,
0.0452868,
0.0491518,
0.0537841,
0.0594698,
0.0660685,
0.0738722,
0.0834254,
0.0946937,
0.1085066,
0.1245203,
0.1443222,
0.1672488,
0.1963195,
0.2288472,
0.2695276,
0.3180429,
0.3761319,
0.4461195
],
[
0.025277,
0.0334682,
0.0350734,
0.0369903,
0.0392718,
0.0420062,
0.0452376,
0.0491121,
0.0539116,
0.0592787,
0.0658635,
0.0736724,
0.0832737,
0.0947101,
0.1083968,
0.1245893,
0.1442825,
0.1677148,
0.1954381,
0.2295251,
0.2696269,
0.3183807,
0.3761464,
0.4461311
],
[
0.0252601,
0.033449,
0.0350659,
0.0369374,
0.0392175,
0.04194,
0.0452361,
0.0490951,
0.0537904,
0.0593322,
0.0659126,
0.0739501,
0.0834209,
0.0947735,
0.1085276,
0.1247582,
0.143872,
0.1676958,
0.1961692,
0.2293941,
0.2697467,
0.3176845,
0.3762491,
0.4459682
],
[
0.0252172,
0.0334186,
0.0349849,
0.03689,
0.0391791,
0.0418657,
0.0452282,
0.0490595,
0.0536885,
0.0592566,
0.0657616,
0.0738052,
0.0832215,
0.0947002,
0.1082749,
0.1243783,
0.1442407,
0.1675876,
0.195801,
0.2292462,
0.2698673,
0.3179759,
0.3764094,
0.4458906
],
[
0.0251538,
0.0333148,
0.0348829,
0.0367982,
0.0390886,
0.0417642,
0.0450472,
0.0488372,
0.0535452,
0.059103,
0.065711,
0.0736434,
0.0832261,
0.0944899,
0.1081055,
0.1243698,
0.1439288,
0.1676295,
0.1954848,
0.2294026,
0.2696339,
0.318267,
0.3760556,
0.4461347
],
[
0.0249823,
0.0330632,
0.0346364,
0.0365994,
0.0388116,
0.0415751,
0.0447468,
0.0485779,
0.0532619,
0.0587735,
0.0653537,
0.0734294,
0.0828581,
0.0942201,
0.1078125,
0.1242213,
0.1439241,
0.1671284,
0.1954019,
0.2292456,
0.2695439,
0.3181585,
0.3761242,
0.4456717
],
[
0.0247946,
0.0328397,
0.0344466,
0.0362848,
0.0385081,
0.0412356,
0.0444991,
0.0483368,
0.0530673,
0.0585413,
0.0651235,
0.0729484,
0.0824176,
0.0938841,
0.107599,
0.1237148,
0.1435649,
0.1667982,
0.1951638,
0.2289835,
0.2691538,
0.3178194,
0.3759682,
0.4459624
],
[
0.0245774,
0.0325979,
0.0341701,
0.0360262,
0.0382973,
0.0409644,
0.0441697,
0.0480997,
0.052681,
0.0582118,
0.0648424,
0.072738,
0.0822905,
0.0935988,
0.1072877,
0.1235544,
0.143133,
0.1667822,
0.1950173,
0.2286702,
0.2691453,
0.3176596,
0.3756876,
0.4459163
],
[
0.0243689,
0.0323464,
0.0338989,
0.0357791,
0.038016,
0.0406677,
0.0438704,
0.047776,
0.0523962,
0.0579545,
0.0645587,
0.0724631,
0.0819154,
0.0933243,
0.1068673,
0.1233616,
0.1427821,
0.1664944,
0.1947358,
0.2284277,
0.2685889,
0.3174469,
0.3754756,
0.4452929
],
[
0.0241158,
0.0320565,
0.0336255,
0.0355218,
0.0377841,
0.040438,
0.043631,
0.0474426,
0.0521657,
0.0575781,
0.0642593,
0.0721002,
0.0816275,
0.0930104,
0.1066438,
0.1230003,
0.1426449,
0.1657808,
0.1943389,
0.227978,
0.2684562,
0.3170345,
0.3750516,
0.44515
],
[
0.0242077,
0.031888,
0.033435,
0.0352672,
0.0375019,
0.0402298,
0.0434891,
0.04723,
0.0519135,
0.0573632,
0.0639837,
0.0718766,
0.0813239,
0.0927266,
0.1063506,
0.1225786,
0.1422819,
0.165816,
0.1939288,
0.227712,
0.2680707,
0.3166461,
0.3747736,
0.4448038
],
[
0.0240256,
0.0319999,
0.033586,
0.0353878,
0.0377155,
0.0402383,
0.0433033,
0.047058,
0.0514834,
0.0571279,
0.0637846,
0.0716286,
0.0809325,
0.092536,
0.1060004,
0.1223127,
0.141935,
0.1653765,
0.1935844,
0.2273789,
0.2676779,
0.3164933,
0.3744054,
0.4446897
],
[
0.0238494,
0.031851,
0.0333651,
0.0351444,
0.0373615,
0.0401015,
0.0433347,
0.0471682,
0.0518484,
0.0572188,
0.0636409,
0.0714161,
0.0807533,
0.0922093,
0.1057632,
0.1220262,
0.1414782,
0.1650657,
0.1931269,
0.2269576,
0.2675754,
0.3156503,
0.374388,
0.4441549
]
]
}
},
"max_capacitance": 0.482188,
"max_transition": 5.355468,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": {
"cell_fall,delay_template13x24": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.00935,
0.01122,
0.01346,
0.01615,
0.01938,
0.02326,
0.02791,
0.03349,
0.04019,
0.04823,
0.05788,
0.06945,
0.08334,
0.10001,
0.12001,
0.14401,
0.17281,
0.20737,
0.24884,
0.29861,
0.35833,
0.42999,
0.51599
],
"values": [
[
0.7150295,
0.7509663,
0.7566134,
0.7630286,
0.7703366,
0.7773873,
0.7863571,
0.7968227,
0.8078144,
0.8199886,
0.8335427,
0.8486662,
0.8646125,
0.8836138,
0.9048762,
0.928949,
0.9559875,
0.986429,
1.0218317,
1.0625382,
1.1098594,
1.1653606,
1.2303721,
1.3079209
],
[
0.7283456,
0.7630732,
0.767133,
0.7735216,
0.7803047,
0.7885636,
0.7982978,
0.8081497,
0.8193251,
0.8319112,
0.8456904,
0.8607276,
0.8776098,
0.8965546,
0.9180953,
0.9422761,
0.9685994,
0.9997024,
1.035117,
1.0758234,
1.1231649,
1.1786681,
1.2436803,
1.3211686
],
[
0.7434635,
0.780636,
0.7864542,
0.7930245,
0.8005182,
0.8083196,
0.8174142,
0.8274517,
0.8386761,
0.8509571,
0.8648248,
0.8798773,
0.8968567,
0.9157963,
0.937426,
0.9613433,
0.9881648,
1.018885,
1.0545752,
1.0952678,
1.1426647,
1.1979051,
1.2632401,
1.3397604
],
[
0.7755707,
0.8119587,
0.8179804,
0.8243607,
0.8315078,
0.8395919,
0.8477411,
0.8581133,
0.8689673,
0.8813565,
0.8949969,
0.9101612,
0.9272434,
0.9459783,
0.9674371,
0.9916111,
1.0185569,
1.0491658,
1.0843749,
1.1250411,
1.1723811,
1.2278437,
1.2929217,
1.3702233
],
[
0.8181837,
0.8547373,
0.8603398,
0.8665489,
0.8738036,
0.8816473,
0.8905106,
0.9004429,
0.9114444,
0.9233704,
0.9370366,
0.9526304,
0.9696994,
0.9885921,
1.009745,
1.0339088,
1.06082,
1.0915369,
1.1267415,
1.1674117,
1.2147621,
1.2699445,
1.3352363,
1.4122313
],
[
0.8767488,
0.9133537,
0.9189932,
0.9252975,
0.9324035,
0.940427,
0.9555731,
0.9657459,
0.9767189,
0.9888577,
1.0024234,
1.0177058,
1.0347497,
1.05332,
1.0743622,
1.0980996,
1.1251219,
1.1565735,
1.1917614,
1.2324181,
1.2797587,
1.3352179,
1.4002698,
1.4775886
],
[
0.982513,
1.018934,
1.0246678,
1.0309367,
1.0380217,
1.0460645,
1.055052,
1.0650107,
1.0762253,
1.0883403,
1.1018438,
1.1170085,
1.1340191,
1.1526533,
1.1737383,
1.1974679,
1.2244468,
1.255382,
1.2910879,
1.3317125,
1.3790051,
1.4344222,
1.4994993,
1.5767755
],
[
1.133112,
1.1695981,
1.1752687,
1.1817017,
1.1884931,
1.1965261,
1.2054786,
1.2155165,
1.2266226,
1.2389686,
1.2518893,
1.2671261,
1.284274,
1.3035248,
1.3245691,
1.3480643,
1.3750283,
1.4063249,
1.4414415,
1.4825143,
1.5298995,
1.5851743,
1.6504056,
1.7274672
],
[
1.3596403,
1.3958179,
1.4015435,
1.4081497,
1.4156943,
1.4237641,
1.4321724,
1.4421108,
1.4531868,
1.4654966,
1.4791052,
1.4946523,
1.5115666,
1.5308602,
1.55166,
1.5759625,
1.6021029,
1.6330852,
1.6687997,
1.7088401,
1.7562279,
1.8115068,
1.8767357,
1.9537534
],
[
1.7027215,
1.7381656,
1.7440683,
1.7503807,
1.7571782,
1.7659691,
1.7740066,
1.7843743,
1.7954602,
1.8077813,
1.8214461,
1.8364625,
1.8533779,
1.8724933,
1.8939221,
1.9176718,
1.9446622,
1.9759536,
2.0115942,
2.0518796,
2.0992512,
2.1544584,
2.2198092,
2.2970037
],
[
2.2170368,
2.2537336,
2.2591291,
2.2647457,
2.2718536,
2.2803222,
2.2896207,
2.2996618,
2.3109239,
2.3230338,
2.3354898,
2.3511713,
2.3684399,
2.3872728,
2.407567,
2.4322158,
2.4591564,
2.4900617,
2.5252918,
2.5664892,
2.6138902,
2.6696405,
2.7349367,
2.8118989
],
[
2.9922209,
3.0283849,
3.0341079,
3.0407996,
3.047903,
3.0564433,
3.0652156,
3.0751492,
3.085586,
3.0978566,
3.1116276,
3.1270182,
3.1439518,
3.1630072,
3.1844292,
3.2083252,
3.2354692,
3.2664726,
3.3017287,
3.3428529,
3.3902785,
3.4456662,
3.5108882,
3.5880832
],
[
4.1540704,
4.1914676,
4.197223,
4.2038137,
4.2110773,
4.2193586,
4.2283745,
4.2385953,
4.2498289,
4.2622981,
4.276008,
4.2913717,
4.3085433,
4.3277355,
4.3488493,
4.3731014,
4.3997166,
4.4300776,
4.4653388,
4.5061181,
4.5535664,
4.6088475,
4.6740776,
4.7511799
]
]
},
"cell_rise,delay_template13x24": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.00935,
0.01122,
0.01346,
0.01615,
0.01938,
0.02326,
0.02791,
0.03349,
0.04019,
0.04823,
0.05788,
0.06945,
0.08334,
0.10001,
0.12001,
0.14401,
0.17281,
0.20737,
0.24884,
0.29861,
0.35833,
0.42999,
0.51599
],
"values": [
[
0.2664348,
0.3431347,
0.3579082,
0.375504,
0.3965606,
0.4217227,
0.4516891,
0.4872555,
0.5294709,
0.5804973,
0.6409764,
0.7133053,
0.7989931,
0.9031959,
1.026929,
1.1766934,
1.3553919,
1.5657606,
1.8235646,
2.1309152,
2.4969902,
2.9372222,
3.4684342,
4.1043964
],
[
0.2810004,
0.3574721,
0.3725726,
0.3900057,
0.4110134,
0.4360489,
0.4658687,
0.5012763,
0.5439943,
0.5945955,
0.6550332,
0.7272421,
0.8136315,
0.9177285,
1.0402731,
1.1903799,
1.3675272,
1.5803674,
1.8362535,
2.1440059,
2.5115432,
2.9534162,
3.4810048,
4.11546
],
[
0.2982168,
0.3748232,
0.389797,
0.407432,
0.4284764,
0.4535241,
0.4833309,
0.5187292,
0.5608185,
0.6117009,
0.6723177,
0.7445302,
0.8312823,
0.9349755,
1.0584631,
1.2068455,
1.388078,
1.5980595,
1.8571453,
2.1629118,
2.5306635,
2.9739371,
3.4991208,
4.1369366
],
[
0.3238385,
0.4004071,
0.4151635,
0.4325676,
0.4536702,
0.4788266,
0.5085333,
0.5438115,
0.5861359,
0.6373884,
0.6972779,
0.7701135,
0.8565371,
0.9601741,
1.084401,
1.2335962,
1.4097212,
1.6234372,
1.8803181,
2.1869877,
2.5556395,
2.9949634,
3.5248751,
4.1714667
],
[
0.3624084,
0.4388314,
0.4533658,
0.4708109,
0.4917665,
0.5163731,
0.5467727,
0.5817274,
0.6242853,
0.6751998,
0.7364926,
0.8072777,
0.8949071,
0.9985416,
1.1220005,
1.2710223,
1.4497945,
1.662399,
1.9170927,
2.2258799,
2.5940174,
3.03558,
3.5707302,
4.2019552
],
[
0.4200854,
0.4957904,
0.5106676,
0.5279508,
0.5486507,
0.5734585,
0.6034031,
0.6389308,
0.6805488,
0.731518,
0.7924961,
0.8643457,
0.9517536,
1.0541125,
1.1793881,
1.327809,
1.5062425,
1.7202706,
1.9747801,
2.2815363,
2.6507239,
3.0942111,
3.6218855,
4.2571355
],
[
0.5067113,
0.5821139,
0.5968396,
0.6140935,
0.635186,
0.6595031,
0.6893458,
0.7251706,
0.7672031,
0.8177096,
0.8782086,
0.9521377,
1.0369472,
1.1416802,
1.2645985,
1.415088,
1.5916308,
1.8054579,
2.0630939,
2.3693052,
2.7361011,
3.1790277,
3.710291,
4.3472839
],
[
0.6314722,
0.7070902,
0.7219096,
0.7388903,
0.759549,
0.7847042,
0.8137964,
0.8493347,
0.8923663,
0.9425715,
1.0028433,
1.0747128,
1.1621446,
1.2654883,
1.3891541,
1.5395916,
1.7163562,
1.9303124,
2.1875959,
2.4945763,
2.8631431,
3.3042125,
3.8364143,
4.4716209
],
[
0.8049529,
0.8809458,
0.8954441,
0.9126802,
0.9337253,
0.9581137,
0.9875993,
1.0227204,
1.0652434,
1.1157171,
1.1756635,
1.2477576,
1.3349915,
1.4385199,
1.5632889,
1.7117289,
1.8906052,
2.1036135,
2.360387,
2.6667413,
3.0372822,
3.4795646,
4.0095229,
4.6462554
],
[
1.0438557,
1.1207472,
1.135436,
1.1531371,
1.1734416,
1.1985715,
1.227949,
1.2631591,
1.305258,
1.355402,
1.4160116,
1.4889664,
1.5748246,
1.6783065,
1.8039177,
1.9512862,
2.1289235,
2.3430788,
2.5984681,
2.9063014,
3.2752832,
3.7180374,
4.2478607,
4.8845212
],
[
1.3745345,
1.4529367,
1.4676059,
1.4849124,
1.5056648,
1.5306765,
1.5601612,
1.5961029,
1.6377916,
1.6885984,
1.7491385,
1.8199524,
1.9062361,
2.0095621,
2.1340727,
2.2827817,
2.4598561,
2.6770162,
2.9302838,
3.2428891,
3.6064338,
4.0468944,
4.5778248,
5.2135061
],
[
1.8333307,
1.9125674,
1.9272743,
1.9450264,
1.9665303,
1.9912678,
2.0208499,
2.0564399,
2.0995499,
2.1482365,
2.2090041,
2.2808617,
2.3672293,
2.4711451,
2.5951421,
2.742981,
2.92081,
3.1344429,
3.3931353,
3.6976895,
4.0691117,
4.5090212,
5.042827,
5.6768901
],
[
2.4702489,
2.5513491,
2.566463,
2.5837843,
2.6048081,
2.6295908,
2.6594424,
2.6952385,
2.7373517,
2.7872913,
2.8478018,
2.921057,
3.0061074,
3.1085772,
3.2351601,
3.379995,
3.558843,
3.7721444,
4.0277794,
4.3335855,
4.7021285,
5.1491269,
5.6793161,
6.3119394
]
]
},
"fall_transition,delay_template13x24": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.00935,
0.01122,
0.01346,
0.01615,
0.01938,
0.02326,
0.02791,
0.03349,
0.04019,
0.04823,
0.05788,
0.06945,
0.08334,
0.10001,
0.12001,
0.14401,
0.17281,
0.20737,
0.24884,
0.29861,
0.35833,
0.42999,
0.51599
],
"values": [
[
0.1125542,
0.1274301,
0.1304981,
0.1324941,
0.1377945,
0.1405181,
0.1453192,
0.1513714,
0.1581813,
0.1657127,
0.1745637,
0.1846709,
0.1970975,
0.2134766,
0.2296111,
0.2504267,
0.2753408,
0.3039237,
0.339408,
0.3833114,
0.4351088,
0.4994662,
0.5781635,
0.6740243
],
[
0.111811,
0.1275641,
0.1298821,
0.1336481,
0.1364577,
0.1404644,
0.1458772,
0.1517595,
0.1584572,
0.1662197,
0.1750881,
0.1845631,
0.1972594,
0.2115006,
0.2295823,
0.2507317,
0.2748391,
0.3038036,
0.3397193,
0.3831775,
0.4347682,
0.4990103,
0.578444,
0.674025
],
[
0.1118141,
0.128094,
0.1303489,
0.1325223,
0.1378057,
0.1406726,
0.1460778,
0.1517941,
0.1582501,
0.1660155,
0.1751013,
0.1840457,
0.197797,
0.2124766,
0.2298786,
0.2504924,
0.2746286,
0.3040036,
0.3395909,
0.3817569,
0.4359285,
0.4992791,
0.5785245,
0.6755981
],
[
0.113067,
0.1276069,
0.1306625,
0.1338985,
0.1377866,
0.1404521,
0.1455043,
0.1512202,
0.1579634,
0.1654856,
0.1743543,
0.1846578,
0.1967442,
0.2112876,
0.22948,
0.2506644,
0.2752982,
0.3033085,
0.3397894,
0.3829182,
0.4344829,
0.4988,
0.5788553,
0.6738407
],
[
0.1118109,
0.1272346,
0.1299204,
0.1340817,
0.1375488,
0.140495,
0.1456231,
0.1513877,
0.1579483,
0.1655212,
0.1743914,
0.1847361,
0.1971543,
0.2118943,
0.2301262,
0.2512907,
0.2745666,
0.3043366,
0.3397808,
0.3819902,
0.4361212,
0.4993062,
0.5783138,
0.6756467
],
[
0.1126227,
0.1272615,
0.1298284,
0.1333035,
0.1375758,
0.1421038,
0.1451908,
0.1509245,
0.158657,
0.1661615,
0.1747385,
0.1846277,
0.1964934,
0.2113263,
0.2295919,
0.2503343,
0.2747532,
0.3032753,
0.339765,
0.382868,
0.4344327,
0.4986546,
0.5788359,
0.6721377
],
[
0.1118209,
0.1273835,
0.1298747,
0.1334752,
0.1377968,
0.1402166,
0.1451457,
0.1509654,
0.1573633,
0.1662825,
0.1749104,
0.1849106,
0.1970186,
0.2111217,
0.2294449,
0.2502953,
0.2746736,
0.3036705,
0.3398496,
0.3829583,
0.4344283,
0.4985768,
0.5787568,
0.6739858
],
[
0.1125783,
0.1277569,
0.1307906,
0.133835,
0.136705,
0.1410808,
0.1461504,
0.1517292,
0.1580676,
0.1654256,
0.1741259,
0.1846302,
0.1974717,
0.2114947,
0.2294646,
0.2494935,
0.274765,
0.3034636,
0.340023,
0.3813372,
0.4353783,
0.4993955,
0.5790196,
0.6748218
],
[
0.1126983,
0.1276256,
0.1306626,
0.1339366,
0.137949,
0.1404766,
0.1459677,
0.1516595,
0.1582462,
0.1658693,
0.1745958,
0.184749,
0.1979146,
0.2116011,
0.2296144,
0.2507125,
0.2748115,
0.3037683,
0.339866,
0.3816709,
0.4352663,
0.4993826,
0.5790621,
0.6749591
],
[
0.1130736,
0.1276977,
0.1303962,
0.1340104,
0.1369673,
0.1412826,
0.1461136,
0.1518724,
0.1584274,
0.1660577,
0.1749517,
0.185072,
0.1970061,
0.2120577,
0.2293515,
0.2491376,
0.2746807,
0.3030355,
0.3397919,
0.3825063,
0.4361338,
0.4990659,
0.5787708,
0.6753266
],
[
0.1122206,
0.1282588,
0.1314017,
0.1345161,
0.1377342,
0.1429374,
0.1475041,
0.1528732,
0.1591,
0.166289,
0.175085,
0.184983,
0.1973236,
0.2119094,
0.2294458,
0.2509669,
0.2751183,
0.3037454,
0.340924,
0.3817868,
0.4360654,
0.4994406,
0.579177,
0.675147
],
[
0.112355,
0.1286301,
0.1318594,
0.1348157,
0.1379423,
0.1418821,
0.1463816,
0.1516845,
0.1582975,
0.1660639,
0.1749384,
0.1851946,
0.1971798,
0.2120321,
0.2296141,
0.2505388,
0.2751404,
0.3034831,
0.3395951,
0.3823106,
0.4346726,
0.4990598,
0.5789473,
0.6743439
],
[
0.1227361,
0.1353635,
0.1387534,
0.1414356,
0.1440174,
0.1490157,
0.1520348,
0.159048,
0.1640975,
0.170757,
0.1789876,
0.1888812,
0.2025451,
0.2154756,
0.233365,
0.25113,
0.2769797,
0.3062739,
0.3421209,
0.3833585,
0.4366961,
0.5003613,
0.5781585,
0.6757548
]
]
},
"related_pin": "A",
"rise_transition,delay_template13x24": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.00935,
0.01122,
0.01346,
0.01615,
0.01938,
0.02326,
0.02791,
0.03349,
0.04019,
0.04823,
0.05788,
0.06945,
0.08334,
0.10001,
0.12001,
0.14401,
0.17281,
0.20737,
0.24884,
0.29861,
0.35833,
0.42999,
0.51599
],
"values": [
[
0.1079398,
0.2017913,
0.220755,
0.2450861,
0.2738628,
0.3053866,
0.3434015,
0.3904134,
0.4460531,
0.5138446,
0.5987092,
0.6927498,
0.8107625,
0.9529074,
1.1189317,
1.3217402,
1.5649221,
1.8576373,
2.207053,
2.6299734,
3.1387777,
3.7439918,
4.4682773,
5.334531
],
[
0.1079525,
0.2024901,
0.2208402,
0.2439873,
0.2713158,
0.3037194,
0.3433544,
0.3898084,
0.446124,
0.5181233,
0.5956954,
0.6929636,
0.8112565,
0.9503387,
1.1206727,
1.3242832,
1.5650531,
1.8577202,
2.2061173,
2.6328888,
3.1301002,
3.7510728,
4.4616595,
5.3285638
],
[
0.109669,
0.2024201,
0.2208864,
0.2434658,
0.2709668,
0.3034933,
0.3428582,
0.389408,
0.4470208,
0.5138634,
0.5951465,
0.693484,
0.8097375,
0.9569254,
1.1194454,
1.3281506,
1.5655446,
1.8578334,
2.2061317,
2.6263534,
3.1316156,
3.7445433,
4.4598214,
5.3315929
],
[
0.107936,
0.2040444,
0.2220083,
0.2441366,
0.2711775,
0.3035623,
0.3428306,
0.3894906,
0.4473972,
0.5142931,
0.5949137,
0.6938604,
0.8101817,
0.9500728,
1.1220653,
1.3213495,
1.566052,
1.8561277,
2.211928,
2.626332,
3.1424516,
3.7342582,
4.4580437,
5.3360392
],
[
0.108142,
0.2019812,
0.2209097,
0.2433927,
0.2716508,
0.3041137,
0.3438495,
0.3897819,
0.4459496,
0.5148911,
0.595082,
0.6924821,
0.8110444,
0.9507926,
1.1268037,
1.3234837,
1.5633029,
1.8599144,
2.2066944,
2.6273663,
3.1297718,
3.7395589,
4.4602957,
5.3497367
],
[
0.1095442,
0.2023833,
0.2211654,
0.2433686,
0.2705969,
0.3051428,
0.3437346,
0.389789,
0.4462692,
0.5137631,
0.5956811,
0.6948472,
0.8103694,
0.9499952,
1.1238971,
1.3209948,
1.5712003,
1.8604446,
2.2048603,
2.6296916,
3.1296177,
3.7435171,
4.4657651,
5.3304219
],
[
0.1078687,
0.2018394,
0.2228263,
0.2441786,
0.2710444,
0.3034796,
0.3443922,
0.3911748,
0.4467755,
0.5138451,
0.597405,
0.6934599,
0.8095686,
0.9520669,
1.1196452,
1.3209442,
1.5661148,
1.8566145,
2.2061453,
2.6312597,
3.1301024,
3.7386783,
4.4585788,
5.3388516
],
[
0.1082769,
0.2023238,
0.2212624,
0.2434714,
0.2713175,
0.3036367,
0.3426958,
0.389369,
0.4477776,
0.5137246,
0.5996242,
0.6961108,
0.8098538,
0.9554285,
1.120301,
1.3207934,
1.5669007,
1.8552915,
2.2151105,
2.6263209,
3.1287768,
3.7382231,
4.461159,
5.3554676
],
[
0.1106465,
0.2034503,
0.2219977,
0.2467516,
0.2717963,
0.3041668,
0.3428832,
0.3921045,
0.4467302,
0.514042,
0.5976909,
0.6931754,
0.8100937,
0.9519607,
1.1191967,
1.3242741,
1.5645972,
1.8586259,
2.2052977,
2.6305353,
3.1296066,
3.7461652,
4.4600941,
5.3300533
],
[
0.1148406,
0.2070283,
0.2256129,
0.247719,
0.2759621,
0.3066105,
0.3463796,
0.3922158,
0.4505141,
0.5161978,
0.596247,
0.6963956,
0.8112391,
0.9507789,
1.1213145,
1.3218858,
1.5677692,
1.8566381,
2.2098487,
2.6259612,
3.1344694,
3.734042,
4.4669402,
5.330175
],
[
0.1206566,
0.2110873,
0.2293545,
0.2516183,
0.278142,
0.3103193,
0.3521047,
0.3971299,
0.4518836,
0.5197624,
0.6013816,
0.6963462,
0.8138019,
0.9528722,
1.1224721,
1.323024,
1.5655175,
1.8602483,
2.2065971,
2.6314664,
3.130094,
3.7418819,
4.4594657,
5.3408593
],
[
0.1289312,
0.2196009,
0.2378085,
0.2593724,
0.2837909,
0.315647,
0.3539875,
0.4019773,
0.4578047,
0.5235989,
0.6039377,
0.7038752,
0.8173452,
0.9578863,
1.1243633,
1.3258905,
1.5680783,
1.8632702,
2.2094933,
2.6308653,
3.132373,
3.7462233,
4.4640314,
5.3501124
],
[
0.1415216,
0.2272032,
0.2448856,
0.2663474,
0.2923287,
0.3278515,
0.3633528,
0.4078926,
0.4627991,
0.5291756,
0.6126508,
0.7065825,
0.8220923,
0.9619283,
1.1318438,
1.334546,
1.5732788,
1.8624886,
2.2123015,
2.6313953,
3.1350678,
3.7371346,
4.4678181,
5.3310845
]
]
},
"timing_sense": "positive_unate"
}
}
}