blob: b23555ef9d989ec974f8c49c9515cf9c90962610 [file] [log] [blame]
{
"area": 23.976,
"cell_footprint": "sky130_fd_sc_ls__dlrtn",
"cell_leakage_power": 0.02671339,
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"latch,IQ,IQ_N": {
"clear": "!RESET_B",
"data_in": "D",
"enable": "!GATE_N"
},
"leakage_power": [
{
"value": 0.0286516,
"when": "RESET_B&D&!GATE_N"
},
{
"value": 0.0255205,
"when": "RESET_B&!D&GATE_N"
},
{
"value": 0.0250457,
"when": "!RESET_B&!D&!GATE_N"
},
{
"value": 0.0250606,
"when": "RESET_B&!D&!GATE_N"
},
{
"value": 0.0285767,
"when": "RESET_B&D&GATE_N"
},
{
"value": 0.0296841,
"when": "!RESET_B&D&!GATE_N"
},
{
"value": 0.0255056,
"when": "!RESET_B&!D&GATE_N"
},
{
"value": 0.0256622,
"when": "!RESET_B&D&GATE_N"
}
],
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,D": {
"capacitance": 0.001877,
"ccsn_first_stage": [
{
"dc_current,ccsn_dc": {
"index_1": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"index_2": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"values": [
[
1.26093,
0.354138,
0.33485,
0.325851,
0.314203,
0.306959,
0.298622,
0.289226,
0.278849,
0.267552,
0.255372,
0.242329,
0.228438,
0.213711,
0.198161,
0.181798,
0.164634,
0.146682,
0.127954,
0.108461,
0.0882175,
0.0672362,
0.0455303,
0.0231137,
-1.45041e-09,
-0.046978,
-0.0941465,
-0.241363,
-0.893821
],
[
1.18994,
0.193636,
0.184489,
0.180973,
0.177064,
0.174909,
0.172576,
0.170017,
0.167162,
0.163911,
0.160113,
0.155569,
0.150071,
0.143497,
0.135815,
0.127027,
0.117142,
0.10617,
0.0941219,
0.0810102,
0.0668485,
0.051651,
0.035433,
0.0182104,
-1.48075e-09,
-0.0382121,
-0.077979,
-0.209864,
-0.889933
],
[
1.15247,
0.105098,
0.0993282,
0.0973194,
0.095199,
0.0940855,
0.092928,
0.091718,
0.0904444,
0.0890923,
0.0876412,
0.086062,
0.0843111,
0.0823198,
0.0799737,
0.0770781,
0.0733493,
0.0685274,
0.062486,
0.0551889,
0.0466322,
0.0368227,
0.0257716,
0.0134923,
-1.51031e-09,
-0.0298019,
-0.0625666,
-0.180032,
-0.885408
],
[
1.14316,
0.0818037,
0.0742043,
0.0725831,
0.0708961,
0.0700216,
0.0691217,
0.068192,
0.0672266,
0.0662182,
0.0651568,
0.0640288,
0.0628151,
0.061487,
0.0599995,
0.0582752,
0.0561684,
0.0534073,
0.0496399,
0.0446411,
0.0383374,
0.0307148,
0.0217773,
0.0115347,
-1.52249e-09,
-0.0263223,
-0.0562169,
-0.167742,
-0.883329
],
[
1.13583,
0.0704304,
0.0516013,
0.0503308,
0.0490267,
0.0483588,
0.0476779,
0.046982,
0.046268,
0.0455327,
0.0447713,
0.043978,
0.0431447,
0.04226,
0.0413071,
0.0402597,
0.0390727,
0.0376555,
0.0357992,
0.0331055,
0.0292088,
0.0239675,
0.0173511,
0.00935925,
-1.53611e-09,
-0.0224617,
-0.0491883,
-0.154098,
-0.881063
],
[
1.13292,
0.0699039,
0.0414431,
0.0403368,
0.039209,
0.0386347,
0.0380521,
0.0374594,
0.036855,
0.0362365,
0.0356009,
0.0349445,
0.0342622,
0.0335469,
0.0327889,
0.031973,
0.0310751,
0.0300502,
0.0287971,
0.0270518,
0.0243474,
0.0203531,
0.0149715,
0.00818606,
-1.54361e-09,
-0.0203815,
-0.0454077,
-0.146729,
-0.879857
],
[
1.13054,
0.072303,
0.0321626,
0.0312141,
0.0302541,
0.0297683,
0.0292775,
0.0287809,
0.0282773,
0.0277651,
0.0272426,
0.0267075,
0.0261567,
0.0255861,
0.02499,
0.0243601,
0.0236837,
0.0229388,
0.0220814,
0.0209943,
0.0193362,
0.016589,
0.0124821,
0.00695488,
-1.55138e-09,
-0.0181986,
-0.0414447,
-0.138971,
-0.8786
],
[
1.12872,
0.0773148,
0.0238547,
0.0230567,
0.0222573,
0.0218552,
0.021451,
0.0210441,
0.0206337,
0.020219,
0.019799,
0.0193724,
0.0189373,
0.0184916,
0.018032,
0.0175544,
0.0170521,
0.0165149,
0.0159241,
0.0152367,
0.0143109,
0.0127066,
0.00989089,
0.00566676,
-1.5619e-09,
-0.015912,
-0.0372975,
-0.130808,
-0.877292
],
[
1.12748,
0.0847162,
0.0166394,
0.0159648,
0.01532,
0.0149979,
0.0146757,
0.014353,
0.0140297,
0.0137051,
0.0133787,
0.0130499,
0.0127177,
0.012381,
0.0120383,
0.0116875,
0.0113255,
0.0109477,
0.0105466,
0.0101072,
0.00958794,
0.0088105,
0.00722247,
0.00432739,
-1.61926e-09,
-0.0135227,
-0.0329666,
-0.122227,
-0.875931
],
[
1.12685,
0.0943398,
0.0107345,
0.0100499,
0.0095548,
0.00930974,
0.00906605,
0.00882361,
0.00858226,
0.00834179,
0.00810197,
0.0078625,
0.007623,
0.00738297,
0.00714177,
0.00689853,
0.00665203,
0.00640047,
0.00614107,
0.00586891,
0.00557313,
0.00521462,
0.00456174,
0.00295784,
-2.67446e-09,
-0.0110356,
-0.0284577,
-0.113228,
-0.874517
],
[
1.12687,
0.106061,
0.00673341,
0.00544851,
0.00509615,
0.00492646,
0.0047591,
0.00459404,
0.00443121,
0.00427057,
0.00411203,
0.0039555,
0.00380086,
0.00364793,
0.00349652,
0.00334634,
0.00319701,
0.00304797,
0.00289841,
0.00274697,
0.00259109,
0.002424,
0.00220893,
0.00163497,
-2.48009e-08,
-0.00846505,
-0.0237883,
-0.103852,
-0.873053
],
[
1.1276,
0.1198,
0.00615123,
0.00234975,
0.00209971,
0.00200331,
0.0019096,
0.0018185,
0.00172997,
0.00164399,
0.00156051,
0.0014795,
0.00140089,
0.00132462,
0.00125062,
0.0011788,
0.00110904,
0.00104118,
0.000975012,
0.000910233,
0.000846325,
0.000782212,
0.000714038,
0.000598548,
-4.67488e-07,
-0.00585615,
-0.019009,
-0.0942068,
-0.871562
],
[
1.12909,
0.135457,
0.010307,
0.00096376,
0.000596866,
0.000558904,
0.000523734,
0.000490288,
0.000458485,
0.000428264,
0.000399564,
0.000372322,
0.000346477,
0.000321967,
0.000298727,
0.00027669,
0.000255788,
0.000235947,
0.000217084,
0.000199103,
0.000181879,
0.000165216,
0.000148625,
0.000128383,
-7.68172e-06,
-0.00337012,
-0.014265,
-0.0844685,
-0.870085
],
[
1.13129,
0.152608,
0.0184485,
0.00156104,
0.000127538,
0.00010406,
9.23684e-05,
8.14652e-05,
7.10674e-05,
6.11085e-05,
5.15494e-05,
4.23589e-05,
3.35097e-05,
2.49765e-05,
1.67352e-05,
8.76311e-06,
1.03771e-06,
-6.46311e-06,
-1.37621e-05,
-2.08835e-05,
-2.7856e-05,
-3.47195e-05,
-4.15569e-05,
-4.88679e-05,
-7.9202e-05,
-0.00149491,
-0.00990088,
-0.0749024,
-0.868729
],
[
1.1339,
0.170453,
0.0289351,
0.0049281,
2.60181e-05,
-7.37283e-05,
-9.71211e-05,
-0.000118223,
-0.000138962,
-0.000159626,
-0.000180306,
-0.000201045,
-0.000221865,
-0.000242779,
-0.000263796,
-0.000284924,
-0.000306168,
-0.000327532,
-0.000349022,
-0.000370642,
-0.000392399,
-0.000414302,
-0.000436368,
-0.000458689,
-0.000485655,
-0.000919179,
-0.00655054,
-0.0660476,
-0.867799
],
[
1.13668,
0.188444,
0.0405311,
0.0109796,
4.96671e-06,
-0.000538547,
-0.000645531,
-0.000732003,
-0.000815191,
-0.000897381,
-0.000979274,
-0.00106116,
-0.0011432,
-0.00122547,
-0.001308,
-0.00139084,
-0.00147401,
-0.0015575,
-0.00164133,
-0.00172552,
-0.00181006,
-0.00189496,
-0.00198024,
-0.00206593,
-0.00215274,
-0.00240567,
-0.00551038,
-0.0592257,
-0.868174
],
[
1.13955,
0.20633,
0.0522926,
0.0180288,
6.96382e-07,
-0.00231281,
-0.00283682,
-0.00312164,
-0.00337234,
-0.00361146,
-0.00384505,
-0.00407555,
-0.00430417,
-0.00453159,
-0.00475823,
-0.00498434,
-0.00521012,
-0.00543569,
-0.00566115,
-0.00588656,
-0.00611198,
-0.00633749,
-0.00656313,
-0.00678899,
-0.00701522,
-0.00748429,
-0.00902496,
-0.0566871,
-0.871164
],
[
1.1425,
0.223933,
0.0634875,
0.0248149,
6.02082e-08,
-0.00571116,
-0.00795417,
-0.00878026,
-0.00934674,
-0.0098425,
-0.0103074,
-0.0107555,
-0.011193,
-0.0116233,
-0.0120486,
-0.0124701,
-0.0128887,
-0.013305,
-0.0137194,
-0.0141322,
-0.0145438,
-0.0149544,
-0.0153642,
-0.0157733,
-0.0161822,
-0.0170024,
-0.0180816,
-0.0593178,
-0.877109
],
[
1.14552,
0.241069,
0.0737636,
0.0309933,
5.02864e-09,
-0.00949876,
-0.0149522,
-0.017312,
-0.0184935,
-0.0193674,
-0.0201285,
-0.0208338,
-0.0215064,
-0.0221577,
-0.0227942,
-0.0234198,
-0.0240371,
-0.0246479,
-0.0252534,
-0.0258545,
-0.0264522,
-0.0270468,
-0.0276389,
-0.028229,
-0.0288176,
-0.0299924,
-0.0312159,
-0.0660038,
-0.885114
],
[
1.14858,
0.257529,
0.083065,
0.0365366,
1.68814e-09,
-0.01303,
-0.0220347,
-0.0272005,
-0.0297105,
-0.0312085,
-0.0323682,
-0.0333805,
-0.0343133,
-0.0351972,
-0.0360483,
-0.036876,
-0.0376862,
-0.0384829,
-0.0392688,
-0.0400461,
-0.0408161,
-0.0415803,
-0.0423394,
-0.0430943,
-0.0438459,
-0.0453423,
-0.046844,
-0.0756455,
-0.894398
],
[
1.15169,
0.273071,
0.0914469,
0.0414894,
1.49397e-09,
-0.0161858,
-0.028527,
-0.0369873,
-0.0418628,
-0.0444992,
-0.0462565,
-0.0476648,
-0.048902,
-0.0500407,
-0.0511161,
-0.052148,
-0.0531481,
-0.0541242,
-0.0550815,
-0.0560239,
-0.0569539,
-0.0578738,
-0.0587851,
-0.0596894,
-0.0605879,
-0.0623717,
-0.0641476,
-0.0876319,
-0.904566
],
[
1.15483,
0.28746,
0.09899,
0.0459103,
1.47075e-09,
-0.0189801,
-0.0343378,
-0.0459817,
-0.0538645,
-0.0584503,
-0.0611808,
-0.0631451,
-0.0647637,
-0.0661972,
-0.0675183,
-0.0687647,
-0.0699582,
-0.0711126,
-0.072237,
-0.0733377,
-0.0744192,
-0.075485,
-0.0765377,
-0.0775794,
-0.078612,
-0.0806562,
-0.082683,
-0.101608,
-0.915395
],
[
1.15796,
0.30053,
0.105776,
0.049857,
1.46091e-09,
-0.0214519,
-0.039508,
-0.0540632,
-0.0649974,
-0.0722556,
-0.0765703,
-0.0793691,
-0.0814977,
-0.0832923,
-0.0848954,
-0.0863767,
-0.0877746,
-0.0891121,
-0.0904043,
-0.0916611,
-0.0928898,
-0.0940956,
-0.0952824,
-0.0964533,
-0.097611,
-0.0998955,
-0.102152,
-0.117389,
-0.92674
],
[
1.16106,
0.312239,
0.111879,
0.0533824,
1.45746e-09,
-0.0236406,
-0.0441036,
-0.0612818,
-0.07505,
-0.0852238,
-0.0918251,
-0.095909,
-0.0987579,
-0.101018,
-0.102959,
-0.104708,
-0.10633,
-0.107862,
-0.109327,
-0.110742,
-0.112118,
-0.113461,
-0.114778,
-0.116073,
-0.11735,
-0.119861,
-0.122331,
-0.134246,
-0.938493
],
[
1.16406,
0.32267,
0.11737,
0.0565336,
1.46157e-09,
-0.0255817,
-0.0481904,
-0.0677214,
-0.0840566,
-0.0970177,
-0.106343,
-0.112315,
-0.116216,
-0.119102,
-0.121468,
-0.123533,
-0.125407,
-0.127151,
-0.128802,
-0.130381,
-0.131906,
-0.133388,
-0.134834,
-0.136251,
-0.137644,
-0.140372,
-0.143043,
-0.152928,
-0.950563
],
[
1.1695,
0.340262,
0.126753,
0.0618747,
1.50103e-09,
-0.0288398,
-0.0550708,
-0.0785976,
-0.0993185,
-0.117105,
-0.131735,
-0.142816,
-0.150318,
-0.155315,
-0.15897,
-0.161909,
-0.164432,
-0.166689,
-0.168765,
-0.170711,
-0.172559,
-0.174331,
-0.176044,
-0.177707,
-0.17933,
-0.182481,
-0.185536,
-0.194591,
-0.975394
],
[
1.1739,
0.354359,
0.134343,
0.0661554,
1.60067e-09,
-0.0314244,
-0.0605467,
-0.0872824,
-0.111544,
-0.133232,
-0.152209,
-0.168221,
-0.180789,
-0.189671,
-0.195724,
-0.20014,
-0.203649,
-0.206624,
-0.209255,
-0.211653,
-0.213882,
-0.215985,
-0.21799,
-0.219917,
-0.221781,
-0.225362,
-0.228796,
-0.238685,
-1.00084
],
[
1.18223,
0.38216,
0.149355,
0.0745259,
2.55081e-09,
-0.0364287,
-0.0712122,
-0.104294,
-0.135619,
-0.165126,
-0.192753,
-0.218428,
-0.242058,
-0.263489,
-0.282422,
-0.298293,
-0.310543,
-0.319463,
-0.326071,
-0.331257,
-0.335558,
-0.33928,
-0.342604,
-0.345639,
-0.348457,
-0.353625,
-0.358354,
-0.371075,
-1.07789
],
[
1.18786,
0.400016,
0.159272,
0.0800287,
8.27714e-09,
-0.0397627,
-0.0784728,
-0.116101,
-0.152619,
-0.187995,
-0.222199,
-0.255199,
-0.286963,
-0.317458,
-0.346645,
-0.374482,
-0.400916,
-0.425868,
-0.449208,
-0.470691,
-0.489869,
-0.50613,
-0.519145,
-0.529285,
-0.537304,
-0.54944,
-0.558667,
-0.579055,
-1.20039
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.00048542,
"miller_cap_rise": 0.000664431,
"output_voltage_fall": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0218565,
0.0337365,
0.0461245,
0.06002,
0.0816068
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.0928764,
0.1061126,
0.118507,
0.132265,
0.1540104
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
}
]
},
"output_voltage_rise": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0450855,
0.0811429,
0.1178275,
0.1576255,
0.2180808
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.1176351,
0.1536818,
0.1907335,
0.2302819,
0.2906937
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
}
]
},
"propagated_noise_high": {
"vector,ccsn_pnlh": [
{
"index_1": [
1.11184
],
"index_2": [
1.91268
],
"index_3": [
0.001
],
"index_4": [
0.9618997,
1.0345372,
1.3428538,
1.6168762,
1.7164932
],
"values": [
1.16939,
0.911019,
0.738774,
0.911019,
1.16939
]
},
{
"index_1": [
1.18641
],
"index_2": [
1.10649
],
"index_3": [
0.001
],
"index_4": [
0.5596827,
0.6088328,
0.8018357,
0.98433,
1.0514258
],
"values": [
1.15936,
0.894981,
0.718726,
0.894981,
1.15936
]
},
{
"index_1": [
1.25357
],
"index_2": [
0.741362
],
"index_3": [
0.001
],
"index_4": [
0.3772118,
0.4149287,
0.5843761,
0.6861241,
0.7368663
],
"values": [
1.14791,
0.87665,
0.695813,
0.87665,
1.14791
]
},
{
"index_1": [
1.11184
],
"index_2": [
0.956339
],
"index_3": [
0.001
],
"index_4": [
0.4919711,
0.5345716,
0.714416,
0.8281567,
0.8846749
],
"values": [
1.37936,
1.24697,
1.15872,
1.24697,
1.37936
]
},
{
"index_1": [
1.18641
],
"index_2": [
0.553243
],
"index_3": [
0.001
],
"index_4": [
0.2882667,
0.3168309,
0.4523306,
0.5061264,
0.5468719
],
"values": [
1.38105,
1.24968,
1.16211,
1.24968,
1.38105
]
},
{
"index_1": [
1.25357
],
"index_2": [
0.370681
],
"index_3": [
0.001
],
"index_4": [
0.1958587,
0.2183595,
0.3007668,
0.3542142,
0.3851177
],
"values": [
1.37628,
1.24206,
1.15257,
1.24206,
1.37628
]
},
{
"index_1": [
1.18641
],
"index_2": [
1.65973
],
"index_3": [
0.001
],
"index_4": [
0.8282293,
0.8958964,
1.2358132,
1.45742,
1.5532139
],
"values": [
0.98023,
0.608368,
0.36046,
0.608368,
0.98023
]
}
]
},
"propagated_noise_low": {
"vector,ccsn_pnlh": [
{
"index_1": [
1.20348
],
"index_2": [
6.01998
],
"index_3": [
0.001
],
"index_4": [
3.0177368,
3.2228971,
4.0009623,
4.4592895,
4.6254921
],
"values": [
0.412242,
0.659588,
0.824485,
0.659588,
0.412242
]
},
{
"index_1": [
1.27151
],
"index_2": [
3.56689
],
"index_3": [
0.001
],
"index_4": [
1.794902,
1.9358085,
2.3921632,
2.7481713,
2.855204
],
"values": [
0.428509,
0.685614,
0.857018,
0.685614,
0.428509
]
},
{
"index_1": [
1.32948
],
"index_2": [
2.44996
],
"index_3": [
0.001
],
"index_4": [
1.2370647,
1.344238,
1.690979,
1.9416219,
2.0216543
],
"values": [
0.440252,
0.704403,
0.880504,
0.704403,
0.440252
]
},
{
"index_1": [
1.20348
],
"index_2": [
3.00999
],
"index_3": [
0.001
],
"index_4": [
1.5283578,
1.6431788,
2.0556888,
2.2645867,
2.3465644
],
"values": [
0.219083,
0.350532,
0.438165,
0.350532,
0.219083
]
},
{
"index_1": [
1.27151
],
"index_2": [
1.78345
],
"index_3": [
0.001
],
"index_4": [
0.9115901,
0.9904819,
1.2755524,
1.3962068,
1.4503769
],
"values": [
0.225804,
0.361286,
0.451608,
0.361286,
0.225804
]
},
{
"index_1": [
1.32948
],
"index_2": [
1.22498
],
"index_3": [
0.001
],
"index_4": [
0.6313233,
0.6924346,
0.8986282,
0.9866777,
1.028415
],
"values": [
0.227338,
0.363741,
0.454677,
0.363741,
0.227338
]
},
{
"index_1": [
1.27151
],
"index_2": [
5.35034
],
"index_3": [
0.001
],
"index_4": [
2.6695468,
2.8620523,
3.5340635,
4.0804964,
4.238329
],
"values": [
0.605171,
0.968273,
1.21034,
0.968273,
0.605171
]
}
]
},
"stage_type": "both"
},
{
"dc_current,ccsn_dc": {
"index_1": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"index_2": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"values": [
[
1.26093,
0.354138,
0.33485,
0.325851,
0.314203,
0.306959,
0.298622,
0.289226,
0.278849,
0.267552,
0.255372,
0.242329,
0.228438,
0.213711,
0.198161,
0.181798,
0.164634,
0.146682,
0.127954,
0.108461,
0.0882175,
0.0672362,
0.0455303,
0.0231137,
-1.45041e-09,
-0.046978,
-0.0941465,
-0.241363,
-0.893821
],
[
1.18994,
0.193636,
0.184489,
0.180973,
0.177064,
0.174909,
0.172576,
0.170017,
0.167162,
0.163911,
0.160113,
0.155569,
0.150071,
0.143497,
0.135815,
0.127027,
0.117142,
0.10617,
0.0941219,
0.0810102,
0.0668485,
0.051651,
0.035433,
0.0182104,
-1.48077e-09,
-0.0382121,
-0.077979,
-0.209864,
-0.889933
],
[
1.15247,
0.105098,
0.0993282,
0.0973194,
0.095199,
0.0940855,
0.092928,
0.091718,
0.0904444,
0.0890923,
0.0876412,
0.086062,
0.0843111,
0.0823198,
0.0799737,
0.0770781,
0.0733493,
0.0685274,
0.062486,
0.0551889,
0.0466322,
0.0368227,
0.0257716,
0.0134923,
-1.51033e-09,
-0.0298019,
-0.0625666,
-0.180032,
-0.885408
],
[
1.14316,
0.0818037,
0.0742043,
0.0725831,
0.0708961,
0.0700216,
0.0691217,
0.068192,
0.0672266,
0.0662182,
0.0651568,
0.0640288,
0.0628151,
0.061487,
0.0599995,
0.0582752,
0.0561684,
0.0534073,
0.0496399,
0.0446411,
0.0383374,
0.0307148,
0.0217773,
0.0115347,
-1.52246e-09,
-0.0263223,
-0.0562169,
-0.167742,
-0.883329
],
[
1.13583,
0.0704304,
0.0516013,
0.0503308,
0.0490267,
0.0483588,
0.0476779,
0.046982,
0.046268,
0.0455327,
0.0447713,
0.043978,
0.0431447,
0.04226,
0.0413071,
0.0402597,
0.0390727,
0.0376555,
0.0357992,
0.0331055,
0.0292088,
0.0239675,
0.0173511,
0.00935925,
-1.53622e-09,
-0.0224617,
-0.0491883,
-0.154098,
-0.881063
],
[
1.13292,
0.0699039,
0.0414431,
0.0403368,
0.039209,
0.0386347,
0.0380521,
0.0374594,
0.036855,
0.0362365,
0.0356009,
0.0349445,
0.0342622,
0.0335469,
0.0327889,
0.031973,
0.0310751,
0.0300502,
0.0287971,
0.0270518,
0.0243474,
0.0203531,
0.0149715,
0.00818606,
-1.54346e-09,
-0.0203815,
-0.0454077,
-0.146729,
-0.879857
],
[
1.13054,
0.072303,
0.0321626,
0.0312141,
0.0302541,
0.0297683,
0.0292775,
0.0287809,
0.0282773,
0.0277651,
0.0272426,
0.0267075,
0.0261567,
0.0255861,
0.02499,
0.0243601,
0.0236837,
0.0229388,
0.0220814,
0.0209943,
0.0193362,
0.016589,
0.0124821,
0.00695488,
-1.55139e-09,
-0.0181986,
-0.0414447,
-0.138971,
-0.8786
],
[
1.12872,
0.0773148,
0.0238547,
0.0230567,
0.0222573,
0.0218552,
0.021451,
0.0210441,
0.0206337,
0.020219,
0.019799,
0.0193724,
0.0189373,
0.0184916,
0.018032,
0.0175544,
0.0170521,
0.0165149,
0.0159241,
0.0152367,
0.0143109,
0.0127066,
0.00989089,
0.00566676,
-1.56181e-09,
-0.015912,
-0.0372975,
-0.130808,
-0.877292
],
[
1.12748,
0.0847162,
0.0166394,
0.0159648,
0.01532,
0.0149979,
0.0146757,
0.014353,
0.0140297,
0.0137051,
0.0133787,
0.0130499,
0.0127177,
0.012381,
0.0120383,
0.0116875,
0.0113255,
0.0109477,
0.0105466,
0.0101072,
0.00958794,
0.0088105,
0.00722247,
0.00432739,
-1.61935e-09,
-0.0135227,
-0.0329666,
-0.122227,
-0.875931
],
[
1.12685,
0.0943398,
0.0107345,
0.0100499,
0.0095548,
0.00930974,
0.00906605,
0.00882361,
0.00858226,
0.00834179,
0.00810197,
0.0078625,
0.007623,
0.00738297,
0.00714177,
0.00689853,
0.00665203,
0.00640047,
0.00614107,
0.00586891,
0.00557313,
0.00521462,
0.00456174,
0.00295784,
-2.67446e-09,
-0.0110356,
-0.0284577,
-0.113228,
-0.874517
],
[
1.12687,
0.106061,
0.00673341,
0.00544851,
0.00509615,
0.00492646,
0.0047591,
0.00459404,
0.00443121,
0.00427057,
0.00411203,
0.0039555,
0.00380086,
0.00364793,
0.00349652,
0.00334634,
0.00319701,
0.00304797,
0.00289841,
0.00274697,
0.00259109,
0.002424,
0.00220893,
0.00163497,
-2.4801e-08,
-0.00846505,
-0.0237883,
-0.103852,
-0.873053
],
[
1.1276,
0.1198,
0.00615123,
0.00234975,
0.00209971,
0.00200331,
0.0019096,
0.0018185,
0.00172997,
0.00164399,
0.00156051,
0.0014795,
0.00140089,
0.00132462,
0.00125062,
0.0011788,
0.00110904,
0.00104118,
0.000975012,
0.000910233,
0.000846325,
0.000782212,
0.000714038,
0.000598548,
-4.67488e-07,
-0.00585615,
-0.019009,
-0.0942068,
-0.871562
],
[
1.12909,
0.135457,
0.010307,
0.00096376,
0.000596866,
0.000558904,
0.000523734,
0.000490288,
0.000458485,
0.000428264,
0.000399564,
0.000372322,
0.000346477,
0.000321967,
0.000298727,
0.00027669,
0.000255788,
0.000235947,
0.000217084,
0.000199103,
0.000181879,
0.000165216,
0.000148625,
0.000128383,
-7.68172e-06,
-0.00337012,
-0.014265,
-0.0844685,
-0.870085
],
[
1.13129,
0.152608,
0.0184485,
0.00156104,
0.000127538,
0.00010406,
9.23684e-05,
8.14652e-05,
7.10674e-05,
6.11085e-05,
5.15494e-05,
4.23589e-05,
3.35097e-05,
2.49765e-05,
1.67352e-05,
8.76311e-06,
1.03771e-06,
-6.46311e-06,
-1.37621e-05,
-2.08835e-05,
-2.7856e-05,
-3.47195e-05,
-4.15569e-05,
-4.88679e-05,
-7.9202e-05,
-0.00149491,
-0.00990088,
-0.0749024,
-0.868729
],
[
1.1339,
0.170453,
0.0289351,
0.0049281,
2.60181e-05,
-7.37283e-05,
-9.71211e-05,
-0.000118223,
-0.000138962,
-0.000159626,
-0.000180306,
-0.000201045,
-0.000221865,
-0.000242779,
-0.000263796,
-0.000284924,
-0.000306168,
-0.000327532,
-0.000349022,
-0.000370642,
-0.000392399,
-0.000414302,
-0.000436368,
-0.000458689,
-0.000485655,
-0.000919179,
-0.00655054,
-0.0660476,
-0.867799
],
[
1.13668,
0.188444,
0.0405311,
0.0109796,
4.96671e-06,
-0.000538547,
-0.000645531,
-0.000732003,
-0.000815191,
-0.000897381,
-0.000979274,
-0.00106116,
-0.0011432,
-0.00122547,
-0.001308,
-0.00139084,
-0.00147401,
-0.0015575,
-0.00164133,
-0.00172552,
-0.00181006,
-0.00189496,
-0.00198024,
-0.00206593,
-0.00215274,
-0.00240567,
-0.00551038,
-0.0592257,
-0.868174
],
[
1.13955,
0.20633,
0.0522926,
0.0180288,
6.96382e-07,
-0.00231281,
-0.00283682,
-0.00312164,
-0.00337234,
-0.00361146,
-0.00384505,
-0.00407555,
-0.00430417,
-0.00453159,
-0.00475823,
-0.00498434,
-0.00521012,
-0.00543569,
-0.00566115,
-0.00588656,
-0.00611198,
-0.00633749,
-0.00656313,
-0.00678899,
-0.00701522,
-0.00748429,
-0.00902496,
-0.0566871,
-0.871164
],
[
1.1425,
0.223933,
0.0634875,
0.0248149,
6.02082e-08,
-0.00571116,
-0.00795417,
-0.00878026,
-0.00934674,
-0.0098425,
-0.0103074,
-0.0107555,
-0.011193,
-0.0116233,
-0.0120486,
-0.0124701,
-0.0128887,
-0.013305,
-0.0137194,
-0.0141322,
-0.0145438,
-0.0149544,
-0.0153642,
-0.0157733,
-0.0161822,
-0.0170024,
-0.0180816,
-0.0593178,
-0.877109
],
[
1.14552,
0.241069,
0.0737636,
0.0309933,
5.02864e-09,
-0.00949876,
-0.0149522,
-0.017312,
-0.0184935,
-0.0193674,
-0.0201285,
-0.0208338,
-0.0215064,
-0.0221577,
-0.0227942,
-0.0234198,
-0.0240371,
-0.0246479,
-0.0252534,
-0.0258545,
-0.0264522,
-0.0270468,
-0.0276389,
-0.028229,
-0.0288176,
-0.0299924,
-0.0312159,
-0.0660038,
-0.885114
],
[
1.14858,
0.257529,
0.083065,
0.0365366,
1.68814e-09,
-0.01303,
-0.0220347,
-0.0272005,
-0.0297105,
-0.0312085,
-0.0323682,
-0.0333805,
-0.0343133,
-0.0351972,
-0.0360483,
-0.036876,
-0.0376862,
-0.0384829,
-0.0392688,
-0.0400461,
-0.0408161,
-0.0415803,
-0.0423394,
-0.0430943,
-0.0438459,
-0.0453423,
-0.046844,
-0.0756455,
-0.894398
],
[
1.15169,
0.273071,
0.0914469,
0.0414894,
1.49397e-09,
-0.0161858,
-0.028527,
-0.0369873,
-0.0418628,
-0.0444992,
-0.0462565,
-0.0476648,
-0.048902,
-0.0500407,
-0.0511161,
-0.052148,
-0.0531481,
-0.0541242,
-0.0550815,
-0.0560239,
-0.0569539,
-0.0578738,
-0.0587851,
-0.0596894,
-0.0605879,
-0.0623717,
-0.0641476,
-0.0876319,
-0.904566
],
[
1.15483,
0.28746,
0.09899,
0.0459103,
1.47075e-09,
-0.0189801,
-0.0343378,
-0.0459817,
-0.0538645,
-0.0584503,
-0.0611808,
-0.0631451,
-0.0647637,
-0.0661972,
-0.0675183,
-0.0687647,
-0.0699582,
-0.0711126,
-0.072237,
-0.0733377,
-0.0744192,
-0.075485,
-0.0765377,
-0.0775794,
-0.078612,
-0.0806562,
-0.082683,
-0.101608,
-0.915395
],
[
1.15796,
0.30053,
0.105776,
0.049857,
1.46091e-09,
-0.0214519,
-0.039508,
-0.0540632,
-0.0649974,
-0.0722556,
-0.0765703,
-0.0793691,
-0.0814977,
-0.0832923,
-0.0848954,
-0.0863767,
-0.0877746,
-0.0891121,
-0.0904043,
-0.0916611,
-0.0928898,
-0.0940956,
-0.0952824,
-0.0964533,
-0.097611,
-0.0998955,
-0.102152,
-0.117389,
-0.92674
],
[
1.16106,
0.312239,
0.111879,
0.0533824,
1.45746e-09,
-0.0236406,
-0.0441036,
-0.0612818,
-0.07505,
-0.0852238,
-0.0918251,
-0.095909,
-0.0987579,
-0.101018,
-0.102959,
-0.104708,
-0.10633,
-0.107862,
-0.109327,
-0.110742,
-0.112118,
-0.113461,
-0.114778,
-0.116073,
-0.11735,
-0.119861,
-0.122331,
-0.134246,
-0.938493
],
[
1.16406,
0.32267,
0.11737,
0.0565336,
1.46157e-09,
-0.0255817,
-0.0481904,
-0.0677214,
-0.0840566,
-0.0970177,
-0.106343,
-0.112315,
-0.116216,
-0.119102,
-0.121468,
-0.123533,
-0.125407,
-0.127151,
-0.128802,
-0.130381,
-0.131906,
-0.133388,
-0.134834,
-0.136251,
-0.137644,
-0.140372,
-0.143043,
-0.152928,
-0.950563
],
[
1.1695,
0.340262,
0.126753,
0.0618747,
1.50103e-09,
-0.0288398,
-0.0550708,
-0.0785976,
-0.0993185,
-0.117105,
-0.131735,
-0.142816,
-0.150318,
-0.155315,
-0.15897,
-0.161909,
-0.164432,
-0.166689,
-0.168765,
-0.170711,
-0.172559,
-0.174331,
-0.176044,
-0.177707,
-0.17933,
-0.182481,
-0.185536,
-0.194591,
-0.975394
],
[
1.1739,
0.354359,
0.134343,
0.0661554,
1.60067e-09,
-0.0314244,
-0.0605467,
-0.0872824,
-0.111544,
-0.133232,
-0.152209,
-0.168221,
-0.180789,
-0.189671,
-0.195724,
-0.20014,
-0.203649,
-0.206624,
-0.209255,
-0.211653,
-0.213882,
-0.215985,
-0.21799,
-0.219917,
-0.221781,
-0.225362,
-0.228796,
-0.238685,
-1.00084
],
[
1.18223,
0.38216,
0.149355,
0.0745259,
2.55081e-09,
-0.0364287,
-0.0712122,
-0.104294,
-0.135619,
-0.165126,
-0.192753,
-0.218428,
-0.242058,
-0.263489,
-0.282422,
-0.298293,
-0.310543,
-0.319463,
-0.326071,
-0.331257,
-0.335558,
-0.33928,
-0.342604,
-0.345639,
-0.348457,
-0.353625,
-0.358354,
-0.371075,
-1.07789
],
[
1.18786,
0.400016,
0.159272,
0.0800287,
8.27714e-09,
-0.0397627,
-0.0784728,
-0.116101,
-0.152619,
-0.187995,
-0.222199,
-0.255199,
-0.286963,
-0.317458,
-0.346645,
-0.374482,
-0.400916,
-0.425868,
-0.449208,
-0.470691,
-0.489869,
-0.50613,
-0.519145,
-0.529285,
-0.537304,
-0.54944,
-0.558667,
-0.579055,
-1.20039
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.00048542,
"miller_cap_rise": 0.000664431,
"output_voltage_fall": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0218565,
0.0337365,
0.0461245,
0.06002,
0.0816068
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.0928764,
0.1061126,
0.118507,
0.132265,
0.1540104
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
}
]
},
"output_voltage_rise": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0450855,
0.0811429,
0.1178275,
0.1576255,
0.2180808
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.1176351,
0.1536818,
0.1907335,
0.2302819,
0.2906937
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
}
]
},
"propagated_noise_high": {
"vector,ccsn_pnlh": [
{
"index_1": [
1.11184
],
"index_2": [
1.91268
],
"index_3": [
0.001
],
"index_4": [
0.9618997,
1.0345372,
1.3428538,
1.6168762,
1.7164932
],
"values": [
1.16939,
0.911019,
0.738774,
0.911019,
1.16939
]
},
{
"index_1": [
1.18641
],
"index_2": [
1.10649
],
"index_3": [
0.001
],
"index_4": [
0.5596827,
0.6088328,
0.8018357,
0.98433,
1.0514258
],
"values": [
1.15936,
0.894981,
0.718726,
0.894981,
1.15936
]
},
{
"index_1": [
1.25357
],
"index_2": [
0.741362
],
"index_3": [
0.001
],
"index_4": [
0.3772118,
0.4149287,
0.5843761,
0.6861241,
0.7368663
],
"values": [
1.14791,
0.87665,
0.695813,
0.87665,
1.14791
]
},
{
"index_1": [
1.11184
],
"index_2": [
0.956339
],
"index_3": [
0.001
],
"index_4": [
0.4919711,
0.5345716,
0.714416,
0.8281567,
0.8846749
],
"values": [
1.37936,
1.24697,
1.15872,
1.24697,
1.37936
]
},
{
"index_1": [
1.18641
],
"index_2": [
0.553243
],
"index_3": [
0.001
],
"index_4": [
0.2882667,
0.3168309,
0.4523306,
0.5061264,
0.5468719
],
"values": [
1.38105,
1.24968,
1.16211,
1.24968,
1.38105
]
},
{
"index_1": [
1.25357
],
"index_2": [
0.370681
],
"index_3": [
0.001
],
"index_4": [
0.1958587,
0.2183595,
0.3007668,
0.3542142,
0.3851177
],
"values": [
1.37628,
1.24206,
1.15257,
1.24206,
1.37628
]
},
{
"index_1": [
1.18641
],
"index_2": [
1.65973
],
"index_3": [
0.001
],
"index_4": [
0.8282293,
0.8958964,
1.2358132,
1.45742,
1.5532139
],
"values": [
0.98023,
0.608368,
0.36046,
0.608368,
0.98023
]
}
]
},
"propagated_noise_low": {
"vector,ccsn_pnlh": [
{
"index_1": [
1.20348
],
"index_2": [
6.01998
],
"index_3": [
0.001
],
"index_4": [
3.0177368,
3.2228971,
4.0009623,
4.4592895,
4.6254921
],
"values": [
0.412242,
0.659588,
0.824485,
0.659588,
0.412242
]
},
{
"index_1": [
1.27151
],
"index_2": [
3.56689
],
"index_3": [
0.001
],
"index_4": [
1.794902,
1.9358085,
2.3921632,
2.7481713,
2.855204
],
"values": [
0.428509,
0.685614,
0.857018,
0.685614,
0.428509
]
},
{
"index_1": [
1.32948
],
"index_2": [
2.44996
],
"index_3": [
0.001
],
"index_4": [
1.2370647,
1.344238,
1.690979,
1.9416219,
2.0216543
],
"values": [
0.440252,
0.704403,
0.880504,
0.704403,
0.440252
]
},
{
"index_1": [
1.20348
],
"index_2": [
3.00999
],
"index_3": [
0.001
],
"index_4": [
1.5283578,
1.6431788,
2.0556888,
2.2645867,
2.3465644
],
"values": [
0.219083,
0.350532,
0.438165,
0.350532,
0.219083
]
},
{
"index_1": [
1.27151
],
"index_2": [
1.78345
],
"index_3": [
0.001
],
"index_4": [
0.9115901,
0.9904819,
1.2755524,
1.3962068,
1.4503769
],
"values": [
0.225804,
0.361286,
0.451608,
0.361286,
0.225804
]
},
{
"index_1": [
1.32948
],
"index_2": [
1.22498
],
"index_3": [
0.001
],
"index_4": [
0.6313233,
0.6924346,
0.8986282,
0.9866777,
1.028415
],
"values": [
0.227338,
0.363741,
0.454677,
0.363741,
0.227338
]
},
{
"index_1": [
1.27151
],
"index_2": [
5.35034
],
"index_3": [
0.001
],
"index_4": [
2.6695468,
2.8620523,
3.5340635,
4.0804964,
4.238329
],
"values": [
0.605171,
0.968273,
1.21034,
0.968273,
0.605171
]
}
]
},
"stage_type": "both"
}
],
"clock": "false",
"direction": "input",
"fall_capacitance": 0.00179,
"input_voltage": "GENERAL",
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.0184809,
0.0184306,
0.0183713,
0.0183118,
0.0182226,
0.0182208,
0.0182182,
0.0181976,
0.0181667,
0.0181522,
0.0181307,
0.0180983,
0.0180498
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.0101427,
0.0100274,
0.0098914,
0.0096352,
0.009251,
0.0094266,
0.00969,
0.0099929,
0.0104472,
0.0104188,
0.0103763,
0.0103126,
0.010217
]
}
},
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.001963,
"timing": [
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.2375957,
0.2479636,
0.2646465,
0.2992331,
0.369627,
0.5128561,
0.6475403,
0.7712383,
0.887612,
0.9978822
],
[
0.2272279,
0.236375,
0.253058,
0.2876445,
0.3580384,
0.5012676,
0.6359518,
0.7596497,
0.8760235,
0.9862936
],
[
0.2117656,
0.2209128,
0.2388164,
0.2721823,
0.3425762,
0.4858053,
0.6204896,
0.7441875,
0.8605612,
0.972052
],
[
0.1881654,
0.1985332,
0.2152161,
0.2498027,
0.3201966,
0.4634258,
0.5981101,
0.7218079,
0.8381816,
0.9484518
],
[
0.1519512,
0.162319,
0.179002,
0.2135885,
0.2827617,
0.4272116,
0.5631165,
0.6880352,
0.8044089,
0.914679
],
[
0.1002747,
0.1106426,
0.1273255,
0.1606914,
0.2310853,
0.3755351,
0.5102194,
0.635138,
0.7515117,
0.8617819
],
[
0.0595846,
0.0699525,
0.0866354,
0.121222,
0.1903952,
0.3336243,
0.4683086,
0.5920065,
0.7083802,
0.8186504
],
[
0.0237773,
0.0341452,
0.0508281,
0.084194,
0.1545879,
0.2978171,
0.4312806,
0.5549785,
0.6713522,
0.7816224
],
[
-0.0071471,
0.0032207,
0.0186829,
0.0520488,
0.1224427,
0.2644512,
0.3979147,
0.5216126,
0.6379863,
0.7470358
],
[
-0.0380716,
-0.0264831,
-0.0110208,
0.0223451,
0.0915182,
0.2347474,
0.368211,
0.4906881,
0.6070618,
0.717332
]
]
},
"related_pin": "GATE_N",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.3096172,
0.319985,
0.3342266,
0.3663718,
0.4233379,
0.517739,
0.5999329,
0.6723613,
0.7399069,
0.805011
],
[
0.2992493,
0.3083965,
0.3238587,
0.3547832,
0.4129701,
0.5073711,
0.5895651,
0.6619935,
0.7295391,
0.7934225
],
[
0.2825664,
0.2929342,
0.3083965,
0.339321,
0.3975078,
0.4931296,
0.5741029,
0.6453106,
0.7128561,
0.7779603
],
[
0.2504212,
0.2607891,
0.2762513,
0.3071758,
0.3653626,
0.458543,
0.5431784,
0.6156068,
0.6819317,
0.7458151
],
[
0.1922344,
0.2038229,
0.2180645,
0.2489889,
0.3059551,
0.4027975,
0.4825502,
0.5574199,
0.6249655,
0.688849
],
[
0.1014954,
0.1118633,
0.1261048,
0.15825,
0.2188783,
0.3096172,
0.3918112,
0.4654603,
0.5354473,
0.5981101
],
[
0.0254049,
0.0345521,
0.0500143,
0.0809388,
0.1403463,
0.2335267,
0.3157207,
0.3893698,
0.4556947,
0.5183574
],
[
-0.044582,
-0.0366556,
-0.0211934,
0.0097311,
0.0691387,
0.1647604,
0.2469544,
0.3193828,
0.3881491,
0.4508118
],
[
-0.1109069,
-0.1005391,
-0.0850768,
-0.055373,
0.0052552,
0.0996563,
0.1818503,
0.2579408,
0.3254863,
0.3905905
],
[
-0.172349,
-0.1619811,
-0.1465189,
-0.1143737,
-0.0561868,
0.0382142,
0.1228496,
0.195278,
0.2640443,
0.3279277
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "setup_rising",
"violation_delay_degrade_pct": 10
},
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.2067402,
-0.2171081,
-0.233791,
-0.2683776,
-0.3412129,
-0.4856627,
-0.6215677,
-0.7464863,
-0.8640807,
-0.9755716
],
[
-0.1951517,
-0.2055195,
-0.2222025,
-0.2567891,
-0.3296243,
-0.4740742,
-0.6111999,
-0.7348978,
-0.8524922,
-0.9639831
],
[
-0.1821309,
-0.1924987,
-0.2091816,
-0.2437682,
-0.3166035,
-0.4610534,
-0.5969583,
-0.721877,
-0.8382506,
-0.9509623
],
[
-0.160972,
-0.1713398,
-0.1880228,
-0.2226094,
-0.294224,
-0.4398945,
-0.5757995,
-0.7007181,
-0.8183125,
-0.9298034
],
[
-0.1259785,
-0.1363463,
-0.1530293,
-0.1876159,
-0.2604512,
-0.4061218,
-0.5432474,
-0.668166,
-0.7845397,
-0.8960306
],
[
-0.0743021,
-0.0846699,
-0.1013529,
-0.1359395,
-0.2087747,
-0.3532246,
-0.4891296,
-0.6140482,
-0.7328633,
-0.8443542
],
[
-0.0311706,
-0.0415384,
-0.0582214,
-0.0928079,
-0.1644225,
-0.3100931,
-0.4459981,
-0.5709167,
-0.6872903,
-0.800002
],
[
0.0058574,
-0.0032897,
-0.0199727,
-0.0545592,
-0.1261738,
-0.2706237,
-0.4077493,
-0.5314473,
-0.647821,
-0.7593118
],
[
0.0428854,
0.0325176,
0.0146139,
-0.018752,
-0.0903665,
-0.2360371,
-0.371942,
-0.49564,
-0.6132344,
-0.7235045
],
[
0.0750306,
0.0634421,
0.0479798,
0.0133932,
-0.0582214,
-0.2026712,
-0.3385762,
-0.4622741,
-0.5786478,
-0.6901387
]
]
},
"related_pin": "GATE_N",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.2787617,
-0.2891296,
-0.3058125,
-0.336737,
-0.3961445,
-0.4917663,
-0.575181,
-0.6476094,
-0.7175963,
-0.7814798
],
[
-0.2683939,
-0.2787617,
-0.2954447,
-0.3263691,
-0.3857767,
-0.4813984,
-0.5648132,
-0.6384622,
-0.7072285,
-0.771112
],
[
-0.2529316,
-0.2632995,
-0.2787617,
-0.3109069,
-0.3690937,
-0.4659362,
-0.5493509,
-0.6217793,
-0.6917663,
-0.754429
],
[
-0.2220072,
-0.232375,
-0.2478372,
-0.2799824,
-0.3381693,
-0.4350117,
-0.5172057,
-0.5920755,
-0.6596211,
-0.7235045
],
[
-0.1638203,
-0.1754089,
-0.1920918,
-0.2230163,
-0.2812031,
-0.3756042,
-0.4602396,
-0.5338887,
-0.6026549,
-0.6653177
],
[
-0.0755228,
-0.0858906,
-0.1013529,
-0.1322773,
-0.1916849,
-0.2860859,
-0.3682799,
-0.4431498,
-0.511916,
-0.5757995
],
[
0.0005677,
-0.0073587,
-0.022821,
-0.0549661,
-0.113153,
-0.2112161,
-0.2921895,
-0.3670593,
-0.4346048,
-0.499709
],
[
0.0729961,
0.0626283,
0.0459453,
0.0150208,
-0.0443867,
-0.1412292,
-0.2246439,
-0.2958515,
-0.3682799,
-0.4309427
],
[
0.1381003,
0.1265117,
0.1110495,
0.0813457,
0.0207174,
-0.076125,
-0.1607604,
-0.2356302,
-0.3031758,
-0.3682799
],
[
0.1995423,
0.1879538,
0.1724915,
0.1403463,
0.0809388,
-0.0171243,
-0.1005391,
-0.1741881,
-0.2453958,
-0.3092793
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "hold_rising",
"violation_delay_degrade_pct": 10
}
]
},
"pin,GATE_N": {
"capacitance": 0.00213,
"ccsn_first_stage": [
{
"dc_current,ccsn_dc": {
"index_1": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"index_2": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"values": [
[
1.17239,
0.355027,
0.336117,
0.327393,
0.316177,
0.3092,
0.30112,
0.291935,
0.28172,
0.270543,
0.258445,
0.245448,
0.231568,
0.216814,
0.201198,
0.184729,
0.167419,
0.149277,
0.130315,
0.110545,
0.089978,
0.0686271,
0.0465049,
0.0236248,
-1.60592e-09,
-0.0480526,
-0.0963129,
-0.247734,
-0.991135
],
[
1.08879,
0.193901,
0.184783,
0.18129,
0.177413,
0.175279,
0.172973,
0.170449,
0.167641,
0.164451,
0.160736,
0.1563,
0.150924,
0.144466,
0.136877,
0.128157,
0.118308,
0.107339,
0.0952568,
0.082071,
0.0677924,
0.0524327,
0.0360047,
0.0185223,
-1.60476e-09,
-0.038934,
-0.079512,
-0.215079,
-0.987174
],
[
1.0445,
0.105245,
0.0994209,
0.0974146,
0.095298,
0.0941869,
0.0930324,
0.091826,
0.0905568,
0.0892104,
0.0877667,
0.0861971,
0.0844594,
0.0824869,
0.0801688,
0.0773155,
0.0736436,
0.0688797,
0.0628822,
0.0556061,
0.0470416,
0.0371908,
0.0260603,
0.0136595,
-1.60358e-09,
-0.0302528,
-0.0636003,
-0.184236,
-0.982554
],
[
1.03382,
0.0826365,
0.0742605,
0.07264,
0.0709543,
0.0700806,
0.0691817,
0.0682533,
0.0672896,
0.0662832,
0.0652244,
0.0640998,
0.0628905,
0.0615686,
0.0600899,
0.0583791,
0.0562947,
0.0535694,
0.0498451,
0.0448808,
0.0385919,
0.030958,
0.0219774,
0.0116552,
-1.60305e-09,
-0.02668,
-0.0570738,
-0.171549,
-0.980401
],
[
1.02574,
0.07573,
0.0516321,
0.0503615,
0.0490576,
0.0483898,
0.0477091,
0.0470134,
0.0462999,
0.045565,
0.0448043,
0.044012,
0.0431798,
0.0422967,
0.0413461,
0.0403021,
0.0391202,
0.0377121,
0.0358736,
0.0332076,
0.0293354,
0.0241029,
0.0174722,
0.00943704,
-1.60267e-09,
-0.0227288,
-0.049869,
-0.157475,
-0.978047
],
[
1.02263,
0.0791027,
0.0414648,
0.0403582,
0.0392302,
0.038656,
0.0380733,
0.0374807,
0.0368764,
0.0362581,
0.0356228,
0.0349667,
0.0342849,
0.0335704,
0.0328133,
0.0319989,
0.0311031,
0.0300819,
0.0288362,
0.0271067,
0.0244241,
0.0204438,
0.0150584,
0.0082447,
-1.60218e-09,
-0.0206053,
-0.0460019,
-0.149878,
-0.976791
],
[
1.02015,
0.0859543,
0.0321772,
0.0312283,
0.030268,
0.0297821,
0.0292913,
0.0287946,
0.0282909,
0.0277788,
0.0272563,
0.0267213,
0.0261707,
0.0256003,
0.0250046,
0.0243752,
0.0236996,
0.022956,
0.0221011,
0.0210199,
0.0193752,
0.0166427,
0.0125394,
0.00699636,
-1.6026e-09,
-0.0183811,
-0.0419545,
-0.141883,
-0.97548
],
[
1.01833,
0.0956717,
0.0238662,
0.0230654,
0.0222658,
0.0218636,
0.0214593,
0.0210522,
0.0206418,
0.0202271,
0.019807,
0.0193803,
0.0189452,
0.0184995,
0.0180401,
0.0175625,
0.0170605,
0.0165237,
0.0159336,
0.0152478,
0.0143266,
0.0127325,
0.00992392,
0.0056935,
-1.61536e-09,
-0.0160558,
-0.0377256,
-0.133473,
-0.974113
],
[
1.0172,
0.107875,
0.016671,
0.0159698,
0.0153246,
0.0150024,
0.0146802,
0.0143575,
0.014034,
0.0137093,
0.0133829,
0.013054,
0.0127217,
0.012385,
0.0120423,
0.0116914,
0.0113294,
0.0109518,
0.0105508,
0.0101117,
0.00959334,
0.00881938,
0.00723757,
0.00434225,
-1.8362e-09,
-0.0136309,
-0.0333168,
-0.124634,
-0.972688
],
[
1.01682,
0.122314,
0.0109304,
0.0100527,
0.00955703,
0.0093119,
0.00906815,
0.00882564,
0.00858422,
0.00834369,
0.00810381,
0.00786429,
0.00762473,
0.00738466,
0.00714341,
0.00690013,
0.0066536,
0.00640202,
0.00614261,
0.00587048,
0.0055748,
0.00521675,
0.00456611,
0.0029642,
-5.60278e-09,
-0.0111119,
-0.0287347,
-0.11537,
-0.971205
],
[
1.01723,
0.13882,
0.00763897,
0.00545555,
0.00509698,
0.00492724,
0.00475984,
0.00459474,
0.00443188,
0.0042712,
0.00411263,
0.00395607,
0.00380139,
0.00364844,
0.003497,
0.00334679,
0.00319743,
0.00304838,
0.00289879,
0.00274733,
0.00259145,
0.00242437,
0.00220949,
0.00163651,
-6.91965e-08,
-0.00851421,
-0.0239981,
-0.105723,
-0.969665
],
[
1.0185,
0.157287,
0.00893131,
0.00240709,
0.0020999,
0.00200338,
0.00190963,
0.00181849,
0.00172992,
0.00164391,
0.00156039,
0.00147934,
0.0014007,
0.00132439,
0.00125036,
0.00117851,
0.00110871,
0.00104081,
0.000974614,
0.0009098,
0.000845858,
0.000781713,
0.000713511,
0.000598065,
-1.10512e-06,
-0.005884,
-0.0191594,
-0.0958074,
-0.968094
],
[
1.02069,
0.177609,
0.0161788,
0.00130421,
0.000596875,
0.000557343,
0.0005218,
0.000488016,
0.000455881,
0.000425326,
0.00039629,
0.00036871,
0.000342525,
0.000317672,
0.000294086,
0.0002717,
0.000250446,
0.00023025,
0.000211029,
0.000192688,
0.0001751,
0.00015807,
0.000141109,
0.000120496,
-1.59376e-05,
-0.00339044,
-0.014373,
-0.0858188,
-0.96654
],
[
1.02375,
0.199373,
0.0282077,
0.00295851,
0.000127511,
8.64448e-05,
7.07369e-05,
5.62351e-05,
4.23073e-05,
2.88326e-05,
1.57551e-05,
3.03719e-06,
-9.35151e-06,
-2.14378e-05,
-3.32461e-05,
-4.47998e-05,
-5.61212e-05,
-6.72324e-05,
-7.81559e-05,
-8.89161e-05,
-9.95414e-05,
-0.000110072,
-0.000120591,
-0.000131597,
-0.000165615,
-0.00159085,
-0.0100574,
-0.076116,
-0.965166
],
[
1.02735,
0.221814,
0.0429972,
0.00862077,
2.59813e-05,
-0.000201942,
-0.000252369,
-0.000296707,
-0.00034,
-0.000383004,
-0.000425959,
-0.000468962,
-0.000512066,
-0.000555297,
-0.000598672,
-0.000642201,
-0.000685893,
-0.000729751,
-0.000773781,
-0.000817987,
-0.000862375,
-0.000906956,
-0.000951748,
-0.000996846,
-0.00104661,
-0.00152437,
-0.00721827,
-0.0676732,
-0.964539
],
[
1.0312,
0.244413,
0.0590505,
0.0177284,
4.93954e-06,
-0.00119038,
-0.00142312,
-0.00159888,
-0.00176501,
-0.0019278,
-0.00208915,
-0.00224984,
-0.00241027,
-0.00257064,
-0.0027311,
-0.00289172,
-0.00305254,
-0.0032136,
-0.00337493,
-0.00353654,
-0.00369845,
-0.00386067,
-0.00402325,
-0.00418622,
-0.00435029,
-0.00475631,
-0.0079922,
-0.0627294,
-0.966042
],
[
1.03522,
0.266941,
0.0752228,
0.0278377,
6.87494e-07,
-0.00441679,
-0.00551861,
-0.00605277,
-0.00650217,
-0.00692357,
-0.00733161,
-0.00773206,
-0.00812768,
-0.00852003,
-0.00891003,
-0.00929829,
-0.00968521,
-0.0100711,
-0.0104561,
-0.0108404,
-0.0112241,
-0.0116074,
-0.0119903,
-0.0123731,
-0.0127559,
-0.0135367,
-0.0153458,
-0.0641632,
-0.971149
],
[
1.03939,
0.289242,
0.090555,
0.0373071,
5.8967e-08,
-0.00972939,
-0.0139088,
-0.0154253,
-0.0163871,
-0.0172003,
-0.0179509,
-0.018668,
-0.0193644,
-0.020047,
-0.0207196,
-0.0213847,
-0.022044,
-0.0226987,
-0.0233495,
-0.0239972,
-0.0246421,
-0.0252847,
-0.0259254,
-0.0265645,
-0.0272025,
-0.0284792,
-0.0299882,
-0.072433,
-0.979885
],
[
1.04372,
0.31116,
0.104495,
0.0457353,
4.89054e-09,
-0.0152474,
-0.0245018,
-0.0286609,
-0.0306392,
-0.0320291,
-0.0332086,
-0.034287,
-0.0353073,
-0.0362904,
-0.0372478,
-0.0381863,
-0.0391105,
-0.0400236,
-0.0409275,
-0.041824,
-0.0427143,
-0.0435993,
-0.0444799,
-0.0453569,
-0.0462309,
-0.0479735,
-0.0497553,
-0.0857478,
-0.990974
],
[
1.04821,
0.332511,
0.116939,
0.0531449,
1.63227e-09,
-0.0201925,
-0.0347209,
-0.0433734,
-0.0475156,
-0.0498663,
-0.0516248,
-0.053131,
-0.054504,
-0.0557963,
-0.0570351,
-0.0582361,
-0.059409,
-0.0605603,
-0.0616945,
-0.062815,
-0.063924,
-0.0650234,
-0.0661149,
-0.0671997,
-0.068279,
-0.0704261,
-0.0725732,
-0.10256,
-1.00347
],
[
1.05286,
0.353073,
0.127994,
0.0596463,
1.43765e-09,
-0.0244769,
-0.0437686,
-0.0574454,
-0.0653399,
-0.0694306,
-0.0720571,
-0.0741144,
-0.0758974,
-0.0775247,
-0.0790534,
-0.0805147,
-0.0819274,
-0.0833033,
-0.0846508,
-0.0859755,
-0.0872817,
-0.0885725,
-0.0898506,
-0.0911179,
-0.0923763,
-0.0948733,
-0.0973565,
-0.122013,
-1.01689
],
[
1.0577,
0.372585,
0.137817,
0.0653575,
1.40976e-09,
-0.0281727,
-0.0516363,
-0.0699765,
-0.0825586,
-0.08962,
-0.0936485,
-0.0964757,
-0.0987703,
-0.100783,
-0.102627,
-0.104359,
-0.106012,
-0.107608,
-0.10916,
-0.110677,
-0.112166,
-0.113633,
-0.11508,
-0.116512,
-0.11793,
-0.120736,
-0.123516,
-0.143631,
-1.03096
],
[
1.06272,
0.390763,
0.146558,
0.0703855,
1.39577e-09,
-0.0313695,
-0.0584666,
-0.0809244,
-0.0981404,
-0.109338,
-0.115648,
-0.11962,
-0.122594,
-0.125077,
-0.12728,
-0.129306,
-0.131212,
-0.133032,
-0.134787,
-0.136492,
-0.138156,
-0.139789,
-0.141394,
-0.142978,
-0.144542,
-0.147628,
-0.150675,
-0.166319,
-1.04554
],
[
1.06793,
0.407365,
0.154346,
0.0748228,
1.38866e-09,
-0.0341467,
-0.064411,
-0.090471,
-0.111837,
-0.12759,
-0.137287,
-0.143013,
-0.146937,
-0.15002,
-0.152653,
-0.155014,
-0.157195,
-0.159251,
-0.161215,
-0.163108,
-0.164947,
-0.166741,
-0.168498,
-0.170226,
-0.171929,
-0.175275,
-0.178565,
-0.191382,
-1.06049
],
[
1.07334,
0.422265,
0.161297,
0.0787484,
1.38935e-09,
-0.0365703,
-0.0696027,
-0.0988144,
-0.12381,
-0.14384,
-0.157754,
-0.166109,
-0.171409,
-0.175293,
-0.17846,
-0.181213,
-0.183704,
-0.186018,
-0.188203,
-0.190292,
-0.192306,
-0.194262,
-0.19617,
-0.198039,
-0.199875,
-0.203468,
-0.206987,
-0.218359,
-1.07574
],
[
1.08471,
0.447186,
0.173068,
0.085322,
1.42162e-09,
-0.0405618,
-0.0781564,
-0.112563,
-0.143506,
-0.170554,
-0.19283,
-0.208947,
-0.219098,
-0.225649,
-0.230412,
-0.234239,
-0.237519,
-0.240451,
-0.243145,
-0.245667,
-0.248062,
-0.250356,
-0.252572,
-0.254725,
-0.256824,
-0.260898,
-0.264849,
-0.276453,
-1.10687
],
[
1.09655,
0.466748,
0.182511,
0.0905294,
1.51181e-09,
-0.043668,
-0.0848137,
-0.123262,
-0.158806,
-0.191171,
-0.219884,
-0.243961,
-0.261874,
-0.273585,
-0.281291,
-0.286892,
-0.291352,
-0.295138,
-0.298491,
-0.301546,
-0.304387,
-0.307066,
-0.309621,
-0.312077,
-0.314452,
-0.319015,
-0.323391,
-0.335972,
-1.13858
],
[
1.12679,
0.504895,
0.201159,
0.100647,
2.39671e-09,
-0.0495824,
-0.0974992,
-0.143655,
-0.187946,
-0.230254,
-0.27044,
-0.308313,
-0.343571,
-0.375633,
-0.403337,
-0.425053,
-0.440413,
-0.451096,
-0.458971,
-0.465197,
-0.470401,
-0.474932,
-0.478995,
-0.482716,
-0.486179,
-0.492545,
-0.498383,
-0.514134,
-1.23449
],
[
1.14937,
0.531625,
0.214182,
0.107598,
7.74473e-09,
-0.0536084,
-0.10622,
-0.157793,
-0.208282,
-0.25764,
-0.305814,
-0.352751,
-0.398388,
-0.442653,
-0.485458,
-0.526681,
-0.566135,
-0.603496,
-0.638152,
-0.669015,
-0.694672,
-0.71446,
-0.729235,
-0.74049,
-0.749439,
-0.763251,
-0.773988,
-0.79822,
-1.38968
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000598878,
"miller_cap_rise": 0.000732013,
"output_voltage_fall": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0202179,
0.0312951,
0.0427382,
0.0549508,
0.0732027
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.0898134,
0.1032104,
0.1146102,
0.1268412,
0.1448981
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
}
]
},
"output_voltage_rise": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0538243,
0.0999536,
0.1479111,
0.2027365,
0.2826085
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.1253397,
0.1714539,
0.2199502,
0.2735115,
0.3535887
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
}
]
},
"propagated_noise_high": {
"vector,ccsn_pnlh": [
{
"index_1": [
1.0937
],
"index_2": [
1.72076
],
"index_3": [
0.001
],
"index_4": [
0.8654888,
0.9329139,
1.2771422,
1.4852708,
1.5893641
],
"values": [
1.17568,
0.921093,
0.751366,
0.921093,
1.17568
]
},
{
"index_1": [
1.16982
],
"index_2": [
0.987992
],
"index_3": [
0.001
],
"index_4": [
0.4996917,
0.5447645,
0.7238212,
0.9006201,
0.9717988
],
"values": [
1.16406,
0.902502,
0.728128,
0.902502,
1.16406
]
},
{
"index_1": [
1.23875
],
"index_2": [
0.658719
],
"index_3": [
0.001
],
"index_4": [
0.3353665,
0.3693267,
0.4979204,
0.6267891,
0.6803105
],
"values": [
1.1588,
0.894082,
0.717602,
0.894082,
1.1588
]
},
{
"index_1": [
1.0937
],
"index_2": [
0.860382
],
"index_3": [
0.001
],
"index_4": [
0.4415261,
0.4802849,
0.6490882,
0.7617823,
0.8235706
],
"values": [
1.38084,
1.24934,
1.16168,
1.24934,
1.38084
]
},
{
"index_1": [
1.16982
],
"index_2": [
0.493996
],
"index_3": [
0.001
],
"index_4": [
0.256896,
0.2824409,
0.3735687,
0.4650345,
0.5073569
],
"values": [
1.38275,
1.2524,
1.1655,
1.2524,
1.38275
]
},
{
"index_1": [
1.23875
],
"index_2": [
0.32936
],
"index_3": [
0.001
],
"index_4": [
0.1737808,
0.1937796,
0.2701688,
0.3249673,
0.3605732
],
"values": [
1.38063,
1.24901,
1.16126,
1.24901,
1.38063
]
},
{
"index_1": [
1.16982
],
"index_2": [
1.48199
],
"index_3": [
0.001
],
"index_4": [
0.740947,
0.8033275,
1.0726742,
1.3289172,
1.430897
],
"values": [
0.981182,
0.609892,
0.362365,
0.609892,
0.981182
]
}
]
},
"propagated_noise_low": {
"vector,ccsn_pnlh": [
{
"index_1": [
1.20353
],
"index_2": [
7.67607
],
"index_3": [
0.001
],
"index_4": [
3.8377772,
4.0911444,
4.9213172,
5.5551542,
5.7512736
],
"values": [
0.406624,
0.650598,
0.813248,
0.650598,
0.406624
]
},
{
"index_1": [
1.27158
],
"index_2": [
4.54794
],
"index_3": [
0.001
],
"index_4": [
2.2815058,
2.4555651,
3.017674,
3.4249469,
3.5499919
],
"values": [
0.421924,
0.675078,
0.843847,
0.675078,
0.421924
]
},
{
"index_1": [
1.32954
],
"index_2": [
3.12401
],
"index_3": [
0.001
],
"index_4": [
1.5713567,
1.7050916,
2.1844359,
2.4223917,
2.5138666
],
"values": [
0.433587,
0.693739,
0.867174,
0.693739,
0.433587
]
},
{
"index_1": [
1.20353
],
"index_2": [
3.83804
],
"index_3": [
0.001
],
"index_4": [
1.9431698,
2.0845585,
2.5756466,
2.8186208,
2.9144632
],
"values": [
0.216344,
0.34615,
0.432687,
0.34615,
0.216344
]
},
{
"index_1": [
1.27158
],
"index_2": [
2.27397
],
"index_3": [
0.001
],
"index_4": [
1.1576876,
1.2546968,
1.5417766,
1.7374536,
1.8002025
],
"values": [
0.223184,
0.357094,
0.446367,
0.357094,
0.223184
]
},
{
"index_1": [
1.32954
],
"index_2": [
1.56201
],
"index_3": [
0.001
],
"index_4": [
0.7995236,
0.8739853,
1.0776057,
1.2294487,
1.2756396
],
"values": [
0.226792,
0.362867,
0.453584,
0.362867,
0.226792
]
},
{
"index_1": [
1.27158
],
"index_2": [
6.82191
],
"index_3": [
0.001
],
"index_4": [
3.3922405,
3.636511,
4.4622655,
5.0908203,
5.2768299
],
"values": [
0.588612,
0.941779,
1.17722,
0.941779,
0.588612
]
}
]
},
"stage_type": "both"
},
{
"dc_current,ccsn_dc": {
"index_1": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"index_2": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"values": [
[
1.17239,
0.355027,
0.336117,
0.327393,
0.316177,
0.3092,
0.30112,
0.291935,
0.28172,
0.270543,
0.258445,
0.245448,
0.231568,
0.216814,
0.201198,
0.184729,
0.167419,
0.149277,
0.130315,
0.110545,
0.089978,
0.0686271,
0.0465049,
0.0236248,
-1.60595e-09,
-0.0480526,
-0.0963129,
-0.247734,
-0.991135
],
[
1.08879,
0.193901,
0.184783,
0.18129,
0.177413,
0.175279,
0.172973,
0.170449,
0.167641,
0.164451,
0.160736,
0.1563,
0.150924,
0.144466,
0.136877,
0.128157,
0.118308,
0.107339,
0.0952568,
0.082071,
0.0677924,
0.0524327,
0.0360047,
0.0185223,
-1.60478e-09,
-0.038934,
-0.079512,
-0.215079,
-0.987174
],
[
1.0445,
0.105245,
0.0994209,
0.0974146,
0.095298,
0.0941869,
0.0930324,
0.091826,
0.0905568,
0.0892104,
0.0877667,
0.0861971,
0.0844594,
0.0824869,
0.0801688,
0.0773155,
0.0736436,
0.0688797,
0.0628822,
0.0556061,
0.0470416,
0.0371908,
0.0260603,
0.0136595,
-1.60358e-09,
-0.0302528,
-0.0636003,
-0.184236,
-0.982554
],
[
1.03382,
0.0826365,
0.0742605,
0.07264,
0.0709543,
0.0700806,
0.0691817,
0.0682533,
0.0672896,
0.0662832,
0.0652244,
0.0640998,
0.0628905,
0.0615686,
0.0600899,
0.0583791,
0.0562947,
0.0535694,
0.0498451,
0.0448808,
0.0385919,
0.030958,
0.0219774,
0.0116552,
-1.60311e-09,
-0.02668,
-0.0570738,
-0.171549,
-0.980401
],
[
1.02574,
0.07573,
0.0516321,
0.0503615,
0.0490576,
0.0483898,
0.0477091,
0.0470134,
0.0462999,
0.045565,
0.0448043,
0.044012,
0.0431798,
0.0422967,
0.0413461,
0.0403021,
0.0391202,
0.0377121,
0.0358736,
0.0332076,
0.0293354,
0.0241029,
0.0174722,
0.00943704,
-1.60257e-09,
-0.0227288,
-0.049869,
-0.157475,
-0.978047
],
[
1.02263,
0.0791027,
0.0414648,
0.0403582,
0.0392302,
0.038656,
0.0380733,
0.0374807,
0.0368764,
0.0362581,
0.0356228,
0.0349667,
0.0342849,
0.0335704,
0.0328133,
0.0319989,
0.0311031,
0.0300819,
0.0288362,
0.0271067,
0.0244241,
0.0204438,
0.0150584,
0.0082447,
-1.60231e-09,
-0.0206053,
-0.0460019,
-0.149878,
-0.976791
],
[
1.02015,
0.0859543,
0.0321772,
0.0312283,
0.030268,
0.0297821,
0.0292913,
0.0287946,
0.0282909,
0.0277788,
0.0272563,
0.0267213,
0.0261707,
0.0256003,
0.0250046,
0.0243752,
0.0236996,
0.022956,
0.0221011,
0.0210199,
0.0193752,
0.0166427,
0.0125394,
0.00699636,
-1.6028e-09,
-0.0183811,
-0.0419545,
-0.141883,
-0.97548
],
[
1.01833,
0.0956717,
0.0238662,
0.0230654,
0.0222658,
0.0218636,
0.0214593,
0.0210522,
0.0206418,
0.0202271,
0.019807,
0.0193803,
0.0189452,
0.0184995,
0.0180401,
0.0175625,
0.0170605,
0.0165237,
0.0159336,
0.0152478,
0.0143266,
0.0127325,
0.00992392,
0.0056935,
-1.61547e-09,
-0.0160558,
-0.0377256,
-0.133473,
-0.974113
],
[
1.0172,
0.107875,
0.016671,
0.0159698,
0.0153246,
0.0150024,
0.0146802,
0.0143575,
0.014034,
0.0137093,
0.0133829,
0.013054,
0.0127217,
0.012385,
0.0120423,
0.0116914,
0.0113294,
0.0109518,
0.0105508,
0.0101117,
0.00959334,
0.00881938,
0.00723757,
0.00434225,
-1.83624e-09,
-0.0136309,
-0.0333168,
-0.124634,
-0.972688
],
[
1.01682,
0.122314,
0.0109304,
0.0100527,
0.00955703,
0.0093119,
0.00906815,
0.00882564,
0.00858422,
0.00834369,
0.00810381,
0.00786429,
0.00762473,
0.00738466,
0.00714341,
0.00690013,
0.0066536,
0.00640202,
0.00614261,
0.00587048,
0.0055748,
0.00521675,
0.00456611,
0.0029642,
-5.60282e-09,
-0.0111119,
-0.0287347,
-0.11537,
-0.971205
],
[
1.01723,
0.13882,
0.00763897,
0.00545555,
0.00509698,
0.00492724,
0.00475984,
0.00459474,
0.00443188,
0.0042712,
0.00411263,
0.00395607,
0.00380139,
0.00364844,
0.003497,
0.00334679,
0.00319743,
0.00304838,
0.00289879,
0.00274733,
0.00259145,
0.00242437,
0.00220949,
0.00163651,
-6.91966e-08,
-0.00851421,
-0.0239981,
-0.105723,
-0.969665
],
[
1.0185,
0.157287,
0.00893131,
0.00240709,
0.0020999,
0.00200338,
0.00190963,
0.00181849,
0.00172992,
0.00164391,
0.00156039,
0.00147934,
0.0014007,
0.00132439,
0.00125036,
0.00117851,
0.00110871,
0.00104081,
0.000974614,
0.0009098,
0.000845858,
0.000781713,
0.000713511,
0.000598065,
-1.10512e-06,
-0.005884,
-0.0191594,
-0.0958074,
-0.968094
],
[
1.02069,
0.177609,
0.0161788,
0.00130421,
0.000596875,
0.000557343,
0.0005218,
0.000488016,
0.000455881,
0.000425326,
0.00039629,
0.00036871,
0.000342525,
0.000317672,
0.000294086,
0.0002717,
0.000250446,
0.00023025,
0.000211029,
0.000192688,
0.0001751,
0.00015807,
0.000141109,
0.000120496,
-1.59376e-05,
-0.00339044,
-0.014373,
-0.0858188,
-0.96654
],
[
1.02375,
0.199373,
0.0282077,
0.00295851,
0.000127511,
8.64448e-05,
7.07369e-05,
5.62351e-05,
4.23073e-05,
2.88326e-05,
1.57551e-05,
3.03719e-06,
-9.35151e-06,
-2.14378e-05,
-3.32461e-05,
-4.47998e-05,
-5.61212e-05,
-6.72324e-05,
-7.81559e-05,
-8.89161e-05,
-9.95414e-05,
-0.000110072,
-0.000120591,
-0.000131597,
-0.000165615,
-0.00159085,
-0.0100574,
-0.076116,
-0.965166
],
[
1.02735,
0.221814,
0.0429972,
0.00862077,
2.59813e-05,
-0.000201942,
-0.000252369,
-0.000296707,
-0.00034,
-0.000383004,
-0.000425959,
-0.000468962,
-0.000512066,
-0.000555297,
-0.000598672,
-0.000642201,
-0.000685893,
-0.000729751,
-0.000773781,
-0.000817987,
-0.000862375,
-0.000906956,
-0.000951748,
-0.000996846,
-0.00104661,
-0.00152437,
-0.00721827,
-0.0676732,
-0.964539
],
[
1.0312,
0.244413,
0.0590505,
0.0177284,
4.93954e-06,
-0.00119038,
-0.00142312,
-0.00159888,
-0.00176501,
-0.0019278,
-0.00208915,
-0.00224984,
-0.00241027,
-0.00257064,
-0.0027311,
-0.00289172,
-0.00305254,
-0.0032136,
-0.00337493,
-0.00353654,
-0.00369845,
-0.00386067,
-0.00402325,
-0.00418622,
-0.00435029,
-0.00475631,
-0.0079922,
-0.0627294,
-0.966042
],
[
1.03522,
0.266941,
0.0752228,
0.0278377,
6.87494e-07,
-0.00441679,
-0.00551861,
-0.00605277,
-0.00650217,
-0.00692357,
-0.00733161,
-0.00773206,
-0.00812768,
-0.00852003,
-0.00891003,
-0.00929829,
-0.00968521,
-0.0100711,
-0.0104561,
-0.0108404,
-0.0112241,
-0.0116074,
-0.0119903,
-0.0123731,
-0.0127559,
-0.0135367,
-0.0153458,
-0.0641632,
-0.971149
],
[
1.03939,
0.289242,
0.090555,
0.0373071,
5.8967e-08,
-0.00972939,
-0.0139088,
-0.0154253,
-0.0163871,
-0.0172003,
-0.0179509,
-0.018668,
-0.0193644,
-0.020047,
-0.0207196,
-0.0213847,
-0.022044,
-0.0226987,
-0.0233495,
-0.0239972,
-0.0246421,
-0.0252847,
-0.0259254,
-0.0265645,
-0.0272025,
-0.0284792,
-0.0299882,
-0.072433,
-0.979885
],
[
1.04372,
0.31116,
0.104495,
0.0457353,
4.89054e-09,
-0.0152474,
-0.0245018,
-0.0286609,
-0.0306392,
-0.0320291,
-0.0332086,
-0.034287,
-0.0353073,
-0.0362904,
-0.0372478,
-0.0381863,
-0.0391105,
-0.0400236,
-0.0409275,
-0.041824,
-0.0427143,
-0.0435993,
-0.0444799,
-0.0453569,
-0.0462309,
-0.0479735,
-0.0497553,
-0.0857478,
-0.990974
],
[
1.04821,
0.332511,
0.116939,
0.0531449,
1.63227e-09,
-0.0201925,
-0.0347209,
-0.0433734,
-0.0475156,
-0.0498663,
-0.0516248,
-0.053131,
-0.054504,
-0.0557963,
-0.0570351,
-0.0582361,
-0.059409,
-0.0605603,
-0.0616945,
-0.062815,
-0.063924,
-0.0650234,
-0.0661149,
-0.0671997,
-0.068279,
-0.0704261,
-0.0725732,
-0.10256,
-1.00347
],
[
1.05286,
0.353073,
0.127994,
0.0596463,
1.43765e-09,
-0.0244769,
-0.0437686,
-0.0574454,
-0.0653399,
-0.0694306,
-0.0720571,
-0.0741144,
-0.0758974,
-0.0775247,
-0.0790534,
-0.0805147,
-0.0819274,
-0.0833033,
-0.0846508,
-0.0859755,
-0.0872817,
-0.0885725,
-0.0898506,
-0.0911179,
-0.0923763,
-0.0948733,
-0.0973565,
-0.122013,
-1.01689
],
[
1.0577,
0.372585,
0.137817,
0.0653575,
1.40976e-09,
-0.0281727,
-0.0516363,
-0.0699765,
-0.0825586,
-0.08962,
-0.0936485,
-0.0964757,
-0.0987703,
-0.100783,
-0.102627,
-0.104359,
-0.106012,
-0.107608,
-0.10916,
-0.110677,
-0.112166,
-0.113633,
-0.11508,
-0.116512,
-0.11793,
-0.120736,
-0.123516,
-0.143631,
-1.03096
],
[
1.06272,
0.390763,
0.146558,
0.0703855,
1.39577e-09,
-0.0313695,
-0.0584666,
-0.0809244,
-0.0981404,
-0.109338,
-0.115648,
-0.11962,
-0.122594,
-0.125077,
-0.12728,
-0.129306,
-0.131212,
-0.133032,
-0.134787,
-0.136492,
-0.138156,
-0.139789,
-0.141394,
-0.142978,
-0.144542,
-0.147628,
-0.150675,
-0.166319,
-1.04554
],
[
1.06793,
0.407365,
0.154346,
0.0748228,
1.38866e-09,
-0.0341467,
-0.064411,
-0.090471,
-0.111837,
-0.12759,
-0.137287,
-0.143013,
-0.146937,
-0.15002,
-0.152653,
-0.155014,
-0.157195,
-0.159251,
-0.161215,
-0.163108,
-0.164947,
-0.166741,
-0.168498,
-0.170226,
-0.171929,
-0.175275,
-0.178565,
-0.191382,
-1.06049
],
[
1.07334,
0.422265,
0.161297,
0.0787484,
1.38935e-09,
-0.0365703,
-0.0696027,
-0.0988144,
-0.12381,
-0.14384,
-0.157754,
-0.166109,
-0.171409,
-0.175293,
-0.17846,
-0.181213,
-0.183704,
-0.186018,
-0.188203,
-0.190292,
-0.192306,
-0.194262,
-0.19617,
-0.198039,
-0.199875,
-0.203468,
-0.206987,
-0.218359,
-1.07574
],
[
1.08471,
0.447186,
0.173068,
0.085322,
1.42162e-09,
-0.0405618,
-0.0781564,
-0.112563,
-0.143506,
-0.170554,
-0.19283,
-0.208947,
-0.219098,
-0.225649,
-0.230412,
-0.234239,
-0.237519,
-0.240451,
-0.243145,
-0.245667,
-0.248062,
-0.250356,
-0.252572,
-0.254725,
-0.256824,
-0.260898,
-0.264849,
-0.276453,
-1.10687
],
[
1.09655,
0.466748,
0.182511,
0.0905294,
1.51181e-09,
-0.043668,
-0.0848137,
-0.123262,
-0.158806,
-0.191171,
-0.219884,
-0.243961,
-0.261874,
-0.273585,
-0.281291,
-0.286892,
-0.291352,
-0.295138,
-0.298491,
-0.301546,
-0.304387,
-0.307066,
-0.309621,
-0.312077,
-0.314452,
-0.319015,
-0.323391,
-0.335972,
-1.13858
],
[
1.12679,
0.504895,
0.201159,
0.100647,
2.39671e-09,
-0.0495824,
-0.0974992,
-0.143655,
-0.187946,
-0.230254,
-0.27044,
-0.308313,
-0.343571,
-0.375633,
-0.403337,
-0.425053,
-0.440413,
-0.451096,
-0.458971,
-0.465197,
-0.470401,
-0.474932,
-0.478995,
-0.482716,
-0.486179,
-0.492545,
-0.498383,
-0.514134,
-1.23449
],
[
1.14937,
0.531625,
0.214182,
0.107598,
7.74473e-09,
-0.0536084,
-0.10622,
-0.157793,
-0.208282,
-0.25764,
-0.305814,
-0.352751,
-0.398388,
-0.442653,
-0.485458,
-0.526681,
-0.566135,
-0.603496,
-0.638152,
-0.669015,
-0.694672,
-0.71446,
-0.729235,
-0.74049,
-0.749439,
-0.763251,
-0.773988,
-0.79822,
-1.38968
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000598878,
"miller_cap_rise": 0.000732013,
"output_voltage_fall": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0202179,
0.0312951,
0.0427382,
0.0549508,
0.0732027
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.0898134,
0.1032104,
0.1146102,
0.1268412,
0.1448981
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
}
]
},
"output_voltage_rise": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0538243,
0.0999536,
0.1479111,
0.2027365,
0.2826085
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.1253397,
0.1714539,
0.2199502,
0.2735115,
0.3535887
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
}
]
},
"propagated_noise_high": {
"vector,ccsn_pnlh": [
{
"index_1": [
1.0937
],
"index_2": [
1.72076
],
"index_3": [
0.001
],
"index_4": [
0.8654888,
0.9329139,
1.2771422,
1.4852708,
1.5893641
],
"values": [
1.17568,
0.921093,
0.751366,
0.921093,
1.17568
]
},
{
"index_1": [
1.16982
],
"index_2": [
0.987992
],
"index_3": [
0.001
],
"index_4": [
0.4996917,
0.5447645,
0.7238212,
0.9006201,
0.9717988
],
"values": [
1.16406,
0.902502,
0.728128,
0.902502,
1.16406
]
},
{
"index_1": [
1.23875
],
"index_2": [
0.658719
],
"index_3": [
0.001
],
"index_4": [
0.3353665,
0.3693267,
0.4979204,
0.6267891,
0.6803105
],
"values": [
1.1588,
0.894082,
0.717602,
0.894082,
1.1588
]
},
{
"index_1": [
1.0937
],
"index_2": [
0.860382
],
"index_3": [
0.001
],
"index_4": [
0.4415261,
0.4802849,
0.6490882,
0.7617823,
0.8235706
],
"values": [
1.38084,
1.24934,
1.16168,
1.24934,
1.38084
]
},
{
"index_1": [
1.16982
],
"index_2": [
0.493996
],
"index_3": [
0.001
],
"index_4": [
0.256896,
0.2824409,
0.3735687,
0.4650345,
0.5073569
],
"values": [
1.38275,
1.2524,
1.1655,
1.2524,
1.38275
]
},
{
"index_1": [
1.23875
],
"index_2": [
0.32936
],
"index_3": [
0.001
],
"index_4": [
0.1737808,
0.1937796,
0.2701688,
0.3249673,
0.3605732
],
"values": [
1.38063,
1.24901,
1.16126,
1.24901,
1.38063
]
},
{
"index_1": [
1.16982
],
"index_2": [
1.48199
],
"index_3": [
0.001
],
"index_4": [
0.740947,
0.8033275,
1.0726742,
1.3289172,
1.430897
],
"values": [
0.981182,
0.609892,
0.362365,
0.609892,
0.981182
]
}
]
},
"propagated_noise_low": {
"vector,ccsn_pnlh": [
{
"index_1": [
1.20353
],
"index_2": [
7.67607
],
"index_3": [
0.001
],
"index_4": [
3.8377772,
4.0911444,
4.9213172,
5.5551542,
5.7512736
],
"values": [
0.406624,
0.650598,
0.813248,
0.650598,
0.406624
]
},
{
"index_1": [
1.27158
],
"index_2": [
4.54794
],
"index_3": [
0.001
],
"index_4": [
2.2815058,
2.4555651,
3.017674,
3.4249469,
3.5499919
],
"values": [
0.421924,
0.675078,
0.843847,
0.675078,
0.421924
]
},
{
"index_1": [
1.32954
],
"index_2": [
3.12401
],
"index_3": [
0.001
],
"index_4": [
1.5713567,
1.7050916,
2.1844359,
2.4223917,
2.5138666
],
"values": [
0.433587,
0.693739,
0.867174,
0.693739,
0.433587
]
},
{
"index_1": [
1.20353
],
"index_2": [
3.83804
],
"index_3": [
0.001
],
"index_4": [
1.9431698,
2.0845585,
2.5756466,
2.8186208,
2.9144632
],
"values": [
0.216344,
0.34615,
0.432687,
0.34615,
0.216344
]
},
{
"index_1": [
1.27158
],
"index_2": [
2.27397
],
"index_3": [
0.001
],
"index_4": [
1.1576876,
1.2546968,
1.5417766,
1.7374536,
1.8002025
],
"values": [
0.223184,
0.357094,
0.446367,
0.357094,
0.223184
]
},
{
"index_1": [
1.32954
],
"index_2": [
1.56201
],
"index_3": [
0.001
],
"index_4": [
0.7995236,
0.8739853,
1.0776057,
1.2294487,
1.2756396
],
"values": [
0.226792,
0.362867,
0.453584,
0.362867,
0.226792
]
},
{
"index_1": [
1.27158
],
"index_2": [
6.82191
],
"index_3": [
0.001
],
"index_4": [
3.3922405,
3.636511,
4.4622655,
5.0908203,
5.2768299
],
"values": [
0.588612,
0.941779,
1.17722,
0.941779,
0.588612
]
}
]
},
"stage_type": "both"
}
],
"clock": "true",
"direction": "input",
"fall_capacitance": 0.002015,
"input_voltage": "GENERAL",
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.0171464,
0.0171203,
0.0170895,
0.0170077,
0.0168851,
0.0168449,
0.0167846,
0.0167216,
0.016627,
0.0165845,
0.0165208,
0.0164253,
0.016282
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.0110335,
0.0109576,
0.0108681,
0.0108276,
0.0107669,
0.0106752,
0.0105375,
0.0104488,
0.0103157,
0.010262,
0.0101816,
0.0100609,
0.00988
]
}
},
"max_transition": 1.5,
"min_pulse_width_low": 0.437844,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.002244
},
"pin,Q": {
"ccsn_last_stage": [
{
"dc_current,ccsn_dc": {
"index_1": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"index_2": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"values": [
[
3.32082,
0.39177,
0.367765,
0.357572,
0.345051,
0.33759,
0.329188,
0.319791,
0.309368,
0.297904,
0.285392,
0.271827,
0.257204,
0.241523,
0.224785,
0.20699,
0.18814,
0.168239,
0.147292,
0.125303,
0.10228,
0.0782297,
0.0531599,
0.02708,
-1.33366e-09,
-0.0542464,
-0.107269,
-0.287702,
-2.65509
],
[
3.16521,
0.247425,
0.234502,
0.229878,
0.224824,
0.222081,
0.21915,
0.215985,
0.212518,
0.208653,
0.204243,
0.199073,
0.192866,
0.185367,
0.176421,
0.165953,
0.153926,
0.140318,
0.125113,
0.108303,
0.0898786,
0.0698365,
0.0481749,
0.0248949,
-1.35175e-09,
-0.0517107,
-0.104194,
-0.288773,
-2.66014
],
[
3.05951,
0.142433,
0.133774,
0.131058,
0.128208,
0.126718,
0.125176,
0.123573,
0.121895,
0.120126,
0.118244,
0.116217,
0.114001,
0.111523,
0.10866,
0.105183,
0.100697,
0.0947453,
0.087045,
0.0774724,
0.0659684,
0.0524952,
0.0370243,
0.0195322,
-1.40127e-09,
-0.0432113,
-0.0901688,
-0.267144,
-2.65619
],
[
3.0308,
0.114123,
0.100729,
0.0985439,
0.0962765,
0.0951044,
0.0939013,
0.0926618,
0.0913793,
0.0900453,
0.0886485,
0.0871737,
0.0855998,
0.0838958,
0.0820132,
0.0798682,
0.0772939,
0.0739353,
0.0692432,
0.0627892,
0.0543843,
0.0439469,
0.0314279,
0.0167895,
-1.42708e-09,
-0.0386428,
-0.0823846,
-0.253915,
-2.6533
],
[
3.0065,
0.103779,
0.0699307,
0.0682337,
0.0664941,
0.0656043,
0.0646983,
0.0637735,
0.0628267,
0.0618536,
0.060849,
0.0598061,
0.0587156,
0.0575647,
0.0563351,
0.0549979,
0.0535039,
0.0517513,
0.049483,
0.0461324,
0.0410844,
0.0340395,
0.0248833,
0.013553,
-1.45794e-09,
-0.0331215,
-0.0728376,
-0.237007,
-2.64952
],
[
2.99626,
0.105989,
0.0558404,
0.0543735,
0.0528792,
0.052119,
0.0513482,
0.0505651,
0.0497673,
0.0489522,
0.0481164,
0.0472554,
0.0463634,
0.0454326,
0.044452,
0.0434049,
0.0422651,
0.0409834,
0.0394442,
0.0373126,
0.0339026,
0.0286368,
0.0212873,
0.0117618,
-1.47515e-09,
-0.0300099,
-0.0673969,
-0.227071,
-2.64726
],
[
2.9875,
0.112262,
0.0428918,
0.0416458,
0.0403855,
0.039748,
0.0391043,
0.0384534,
0.0377938,
0.0371238,
0.0364413,
0.0357436,
0.0350273,
0.0342877,
0.0335183,
0.0327103,
0.0318495,
0.0309126,
0.0298515,
0.0285306,
0.0265076,
0.0229985,
0.0175082,
0.00986862,
-1.49442e-09,
-0.0266772,
-0.0615234,
-0.216109,
-2.64474
],
[
2.98039,
0.122194,
0.0312996,
0.0302583,
0.0292217,
0.0287004,
0.0281765,
0.0276493,
0.0271179,
0.0265815,
0.0260387,
0.0254881,
0.0249277,
0.024355,
0.0237666,
0.0231577,
0.0225213,
0.0218466,
0.0211138,
0.0202766,
0.0191715,
0.017219,
0.0135874,
0.00788951,
-1.53338e-09,
-0.0231408,
-0.0552379,
-0.204103,
-2.64195
],
[
2.9751,
0.135572,
0.021333,
0.0204199,
0.0195974,
0.0191867,
0.018776,
0.0183649,
0.0179531,
0.01754,
0.017125,
0.0167074,
0.0162862,
0.0158601,
0.0154276,
0.0149864,
0.0145333,
0.0140637,
0.0135698,
0.0130363,
0.01242,
0.0115192,
0.00959838,
0.00585066,
-1.8871e-09,
-0.0194257,
-0.048573,
-0.191057,
-2.63888
],
[
2.9718,
0.152278,
0.0135003,
0.0123419,
0.0117249,
0.0114201,
0.0111172,
0.010816,
0.0105163,
0.0102181,
0.00992094,
0.00962462,
0.00932874,
0.00903279,
0.00873615,
0.00843793,
0.00813695,
0.00783149,
0.00751888,
0.00719446,
0.00684788,
0.00644093,
0.00572273,
0.00380708,
-7.55807e-09,
-0.0155672,
-0.0415769,
-0.177006,
-2.63554
],
[
2.97065,
0.172248,
0.00909353,
0.0062593,
0.00582851,
0.00562667,
0.00542788,
0.00523211,
0.00503931,
0.00484944,
0.00466242,
0.00447818,
0.00429661,
0.00411756,
0.00394086,
0.00376625,
0.0035934,
0.00342183,
0.00325084,
0.00307928,
0.002905,
0.0027222,
0.00249912,
0.00191492,
-1.02222e-07,
-0.0116201,
-0.0343224,
-0.162048,
-2.63191
],
[
2.97185,
0.195451,
0.0106678,
0.00248574,
0.00212438,
0.00202031,
0.00191968,
0.00182224,
0.00172795,
0.00163677,
0.00154864,
0.00146351,
0.00138132,
0.00130199,
0.00122544,
0.00115157,
0.00108027,
0.00101139,
0.000944744,
0.000880079,
0.000816985,
0.000754634,
0.000690113,
0.000590375,
-1.62336e-06,
-0.00769388,
-0.026938,
-0.146396,
-2.62804
],
[
2.97554,
0.221732,
0.0196989,
0.00139171,
0.000510151,
0.000473376,
0.000441567,
0.000411506,
0.000383047,
0.000356106,
0.000330611,
0.000306491,
0.000283677,
0.000262099,
0.000241691,
0.000222382,
0.000204105,
0.000186788,
0.000170356,
0.000154726,
0.000139796,
0.000125414,
0.00011122,
9.44566e-05,
-2.27302e-05,
-0.00410759,
-0.0197079,
-0.130425,
-2.62404
],
[
2.98138,
0.250256,
0.0345589,
0.00365616,
9.71332e-05,
4.67407e-05,
3.05038e-05,
1.56141e-05,
1.21699e-06,
-1.28378e-05,
-2.6611e-05,
-4.01388e-05,
-5.34478e-05,
-6.65602e-05,
-7.94955e-05,
-9.22714e-05,
-0.000104905,
-0.000117412,
-0.00012981,
-0.000142115,
-0.000154349,
-0.000166539,
-0.000178747,
-0.000191362,
-0.000222944,
-0.00173922,
-0.0132974,
-0.114776,
-2.62038
],
[
2.9883,
0.279794,
0.0528049,
0.0106096,
1.87713e-05,
-0.000275821,
-0.000339375,
-0.000394699,
-0.000448641,
-0.000502223,
-0.000555765,
-0.000609398,
-0.00066319,
-0.000717174,
-0.00077137,
-0.00082579,
-0.000880442,
-0.000935331,
-0.000990459,
-0.00104583,
-0.00110145,
-0.00115733,
-0.00121348,
-0.00126998,
-0.0013304,
-0.00179415,
-0.0090731,
-0.100854,
-2.61853
],
[
2.99558,
0.309712,
0.0728404,
0.0215908,
3.52907e-06,
-0.00150547,
-0.00179844,
-0.00201254,
-0.0022134,
-0.00240967,
-0.00260391,
-0.00279721,
-0.00299011,
-0.0031829,
-0.00337576,
-0.00356879,
-0.00376208,
-0.00395566,
-0.00414958,
-0.00434385,
-0.0045385,
-0.00473356,
-0.00492907,
-0.00512508,
-0.00532224,
-0.00578289,
-0.00960376,
-0.0915034,
-2.62175
],
[
3.00299,
0.339767,
0.0935709,
0.03403,
5.22446e-07,
-0.00532313,
-0.00668665,
-0.00732452,
-0.00785154,
-0.00834229,
-0.00881596,
-0.00928001,
-0.00973806,
-0.0101921,
-0.0106433,
-0.0110925,
-0.0115402,
-0.0119868,
-0.0124324,
-0.0128774,
-0.0133219,
-0.0137661,
-0.01421,
-0.0146539,
-0.0150981,
-0.0160007,
-0.0180318,
-0.0899682,
-2.63322
],
[
3.01052,
0.369815,
0.114117,
0.0462405,
4.70581e-08,
-0.0114619,
-0.0162598,
-0.0180069,
-0.019111,
-0.020039,
-0.0208924,
-0.0217059,
-0.0224951,
-0.023268,
-0.0240293,
-0.0247821,
-0.0255283,
-0.0262694,
-0.0270064,
-0.0277399,
-0.0284706,
-0.0291991,
-0.0299256,
-0.0306508,
-0.0313751,
-0.0328254,
-0.0345319,
-0.0963806,
-2.65215
],
[
3.01813,
0.399739,
0.133821,
0.0576966,
4.1077e-09,
-0.0181128,
-0.0284429,
-0.0328647,
-0.0350216,
-0.0365663,
-0.0378838,
-0.0390898,
-0.0402311,
-0.0413307,
-0.0424015,
-0.0434513,
-0.0444852,
-0.0455067,
-0.0465182,
-0.0475217,
-0.0485185,
-0.0495098,
-0.0504965,
-0.0514795,
-0.0524598,
-0.0544159,
-0.0564227,
-0.108592,
-2.67563
],
[
3.02583,
0.429434,
0.152307,
0.0682953,
1.71979e-09,
-0.0244737,
-0.0408838,
-0.0497794,
-0.053891,
-0.0563556,
-0.0582587,
-0.0599101,
-0.0614241,
-0.0628532,
-0.0642254,
-0.065557,
-0.0668583,
-0.0681363,
-0.0693959,
-0.0706405,
-0.071873,
-0.0730952,
-0.0743091,
-0.075516,
-0.0767175,
-0.0791096,
-0.0815078,
-0.124927,
-2.70177
],
[
3.0336,
0.458797,
0.169444,
0.0780482,
1.61515e-09,
-0.0303572,
-0.0526661,
-0.0669849,
-0.0743274,
-0.0782158,
-0.0808953,
-0.0830744,
-0.0849975,
-0.0867693,
-0.0884425,
-0.0900471,
-0.0916014,
-0.0931176,
-0.0946039,
-0.0960662,
-0.097509,
-0.0989357,
-0.100349,
-0.101751,
-0.103144,
-0.105911,
-0.108668,
-0.144465,
-2.72965
],
[
3.04145,
0.487725,
0.185244,
0.0869995,
1.622e-09,
-0.0357467,
-0.0635842,
-0.0834516,
-0.0952014,
-0.101267,
-0.105013,
-0.107842,
-0.110231,
-0.112372,
-0.114356,
-0.116233,
-0.118034,
-0.119778,
-0.121477,
-0.12314,
-0.124775,
-0.126387,
-0.127979,
-0.129555,
-0.131117,
-0.134212,
-0.137286,
-0.166658,
-2.7588
],
[
3.04936,
0.516108,
0.199774,
0.0952025,
1.64319e-09,
-0.0406676,
-0.0736271,
-0.0988013,
-0.115605,
-0.124792,
-0.130024,
-0.133679,
-0.136615,
-0.139165,
-0.141479,
-0.143637,
-0.145685,
-0.147652,
-0.149555,
-0.15141,
-0.153226,
-0.155009,
-0.156765,
-0.158498,
-0.160214,
-0.163602,
-0.166957,
-0.191118,
-2.78892
],
[
3.05734,
0.543821,
0.21312,
0.102712,
1.67956e-09,
-0.0451546,
-0.0828356,
-0.112969,
-0.134932,
-0.148152,
-0.155449,
-0.160172,
-0.163767,
-0.166782,
-0.169456,
-0.17191,
-0.174211,
-0.176401,
-0.178508,
-0.180548,
-0.182536,
-0.184482,
-0.186392,
-0.188274,
-0.19013,
-0.193787,
-0.197396,
-0.21754,
-2.81979
],
[
3.06536,
0.570723,
0.225369,
0.10958,
1.73773e-09,
-0.0492433,
-0.0912648,
-0.125995,
-0.152905,
-0.170782,
-0.18086,
-0.186984,
-0.191387,
-0.194942,
-0.198016,
-0.200788,
-0.203354,
-0.205774,
-0.208084,
-0.210309,
-0.212466,
-0.214569,
-0.216628,
-0.218649,
-0.220639,
-0.224546,
-0.228389,
-0.245669,
-2.85125
],
[
3.08154,
0.62142,
0.246898,
0.121593,
1.9549e-09,
-0.0563586,
-0.106016,
-0.148904,
-0.184696,
-0.212221,
-0.230042,
-0.240406,
-0.247085,
-0.252039,
-0.256084,
-0.259589,
-0.262743,
-0.265655,
-0.26839,
-0.270991,
-0.273488,
-0.275902,
-0.278247,
-0.280537,
-0.282779,
-0.287154,
-0.291424,
-0.306101,
-2.91542
],
[
3.09775,
0.666665,
0.264954,
0.131602,
2.38089e-09,
-0.0622543,
-0.118317,
-0.16812,
-0.211452,
-0.24758,
-0.27464,
-0.291716,
-0.302053,
-0.309071,
-0.314428,
-0.318859,
-0.322718,
-0.326194,
-0.329399,
-0.332405,
-0.335257,
-0.337989,
-0.340623,
-0.343178,
-0.345667,
-0.350487,
-0.355155,
-0.369998,
-2.98066
],
[
3.14314,
0.760647,
0.302977,
0.152455,
6.05934e-09,
-0.074466,
-0.144071,
-0.208745,
-0.268393,
-0.322835,
-0.371619,
-0.413528,
-0.446115,
-0.468086,
-0.48223,
-0.492027,
-0.499469,
-0.505536,
-0.510732,
-0.515339,
-0.519524,
-0.523394,
-0.527024,
-0.530462,
-0.533745,
-0.539954,
-0.545806,
-0.563321,
-3.17718
],
[
3.17806,
0.824034,
0.331822,
0.168029,
2.78931e-08,
-0.0836476,
-0.163948,
-0.240849,
-0.314298,
-0.384236,
-0.450589,
-0.513241,
-0.571976,
-0.626319,
-0.675188,
-0.716454,
-0.747866,
-0.769912,
-0.785466,
-0.797086,
-0.80631,
-0.813997,
-0.820642,
-0.826546,
-0.831898,
-0.841428,
-0.849873,
-0.87266,
-3.49064
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000666067,
"miller_cap_rise": 0.000886798,
"output_voltage_fall": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00413698
],
"index_3": [
0.0185202,
0.0273305,
0.0364487,
0.0465733,
0.0601977
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0124109
],
"index_3": [
0.0247112,
0.0459248,
0.0682196,
0.0918305,
0.1243914
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00413698
],
"index_3": [
0.0869825,
0.0987873,
0.1080391,
0.1179045,
0.1316301
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0124109
],
"index_3": [
0.0957755,
0.1176047,
0.1398334,
0.1636382,
0.1960661
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
}
]
},
"output_voltage_rise": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00124676
],
"index_3": [
0.0323563,
0.0470899,
0.0627185,
0.0805976,
0.1076938
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.01
],
"index_2": [
0.00374029
],
"index_3": [
0.0394516,
0.066863,
0.0963341,
0.1285144,
0.1768195
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00124676
],
"index_3": [
0.1048968,
0.1196571,
0.1353602,
0.1526706,
0.1798727
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00374029
],
"index_3": [
0.112368,
0.1399479,
0.1694079,
0.2019725,
0.2503511
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
}
]
},
"propagated_noise_high": {
"vector,ccsn_pnlh": [
{
"index_1": [
1.08839
],
"index_2": [
1.39138
],
"index_3": [
0.00413698
],
"index_4": [
0.7002855,
0.7564716,
0.9906427,
1.1932092,
1.2703333
],
"values": [
1.16436,
0.902974,
0.728718,
0.902974,
1.16436
]
},
{
"index_1": [
1.16529
],
"index_2": [
0.799757
],
"index_3": [
0.00413698
],
"index_4": [
0.4052935,
0.4428614,
0.6276094,
0.7239293,
0.7756931
],
"values": [
1.15426,
0.886815,
0.708519,
0.886815,
1.15426
]
},
{
"index_1": [
1.23493
],
"index_2": [
0.533396
],
"index_3": [
0.00413698
],
"index_4": [
0.2718908,
0.3002922,
0.4352889,
0.5028606,
0.5412425
],
"values": [
1.14601,
0.873611,
0.692014,
0.873611,
1.14601
]
},
{
"index_1": [
1.08839
],
"index_2": [
0.695691
],
"index_3": [
0.00413698
],
"index_4": [
0.3591307,
0.3925352,
0.5239673,
0.6118853,
0.6575285
],
"values": [
1.37774,
1.24439,
1.15549,
1.24439,
1.37774
]
},
{
"index_1": [
1.23493
],
"index_2": [
0.266698
],
"index_3": [
0.00413698
],
"index_4": [
0.1419795,
0.1589867,
0.2204708,
0.2598403,
0.2838145
],
"values": [
1.3763,
1.24209,
1.15261,
1.24209,
1.3763
]
},
{
"index_1": [
1.16529
],
"index_2": [
0.799757
],
"index_3": [
0.0124109
],
"index_4": [
0.4083934,
0.4480592,
0.5949445,
0.7391832,
0.805181
],
"values": [
1.40622,
1.28996,
1.21245,
1.28996,
1.40622
]
},
{
"index_1": [
1.23493
],
"index_2": [
0.533396
],
"index_3": [
0.0124109
],
"index_4": [
0.2741029,
0.3038844,
0.4398192,
0.5158939,
0.5690213
],
"values": [
1.40289,
1.28463,
1.20579,
1.28463,
1.40289
]
}
]
},
"propagated_noise_low": {
"vector,ccsn_pnlh": [
{
"index_1": [
1.21599
],
"index_2": [
3.15608
],
"index_3": [
0.00124676
],
"index_4": [
1.5884323,
1.6940718,
2.0828069,
2.2954636,
2.3753433
],
"values": [
0.479689,
0.767503,
0.959378,
0.767503,
0.479689
]
},
{
"index_1": [
1.28292
],
"index_2": [
1.84547
],
"index_3": [
0.00124676
],
"index_4": [
0.9350421,
1.0077349,
1.2840304,
1.3977544,
1.4485375
],
"values": [
0.498591,
0.797745,
0.997182,
0.797745,
0.498591
]
},
{
"index_1": [
1.33819
],
"index_2": [
1.26999
],
"index_3": [
0.00124676
],
"index_4": [
0.6465959,
0.7035143,
0.888263,
0.9897983,
1.0277293
],
"values": [
0.516089,
0.825742,
1.03218,
0.825742,
0.516089
]
},
{
"index_1": [
1.21599
],
"index_2": [
1.57804
],
"index_3": [
0.00124676
],
"index_4": [
0.8132238,
0.8781541,
1.0638092,
1.1666455,
1.2068084
],
"values": [
0.260601,
0.416961,
0.521201,
0.416961,
0.260601
]
},
{
"index_1": [
1.33819
],
"index_2": [
0.634993
],
"index_3": [
0.00124676
],
"index_4": [
0.335556,
0.370513,
0.4662674,
0.5035416,
0.5230445
],
"values": [
0.271686,
0.434698,
0.543372,
0.434698,
0.271686
]
},
{
"index_1": [
1.28292
],
"index_2": [
1.84547
],
"index_3": [
0.00374029
],
"index_4": [
0.9393435,
1.0154323,
1.2542931,
1.4092513,
1.4631207
],
"values": [
0.287245,
0.459592,
0.57449,
0.459592,
0.287245
]
},
{
"index_1": [
1.33819
],
"index_2": [
1.26999
],
"index_3": [
0.00374029
],
"index_4": [
0.6491068,
0.7075651,
0.884026,
0.9992402,
1.0386917
],
"values": [
0.296201,
0.473921,
0.592401,
0.473921,
0.296201
]
}
]
},
"stage_type": "both"
},
{
"dc_current,ccsn_dc": {
"index_1": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"index_2": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"values": [
[
3.32082,
0.39177,
0.367765,
0.357572,
0.345051,
0.33759,
0.329188,
0.319791,
0.309368,
0.297904,
0.285392,
0.271827,
0.257204,
0.241523,
0.224785,
0.20699,
0.18814,
0.168239,
0.147292,
0.125303,
0.10228,
0.0782297,
0.0531599,
0.02708,
-1.33366e-09,
-0.0542464,
-0.107269,
-0.287702,
-2.65509
],
[
3.16521,
0.247425,
0.234502,
0.229878,
0.224824,
0.222081,
0.21915,
0.215985,
0.212518,
0.208653,
0.204243,
0.199073,
0.192866,
0.185367,
0.176421,
0.165953,
0.153926,
0.140318,
0.125113,
0.108303,
0.0898786,
0.0698365,
0.0481749,
0.0248949,
-1.35175e-09,
-0.0517107,
-0.104194,
-0.288773,
-2.66014
],
[
3.05951,
0.142433,
0.133774,
0.131058,
0.128208,
0.126718,
0.125176,
0.123573,
0.121895,
0.120126,
0.118244,
0.116217,
0.114001,
0.111523,
0.10866,
0.105183,
0.100697,
0.0947453,
0.087045,
0.0774724,
0.0659684,
0.0524952,
0.0370243,
0.0195322,
-1.40127e-09,
-0.0432113,
-0.0901688,
-0.267144,
-2.65619
],
[
3.0308,
0.114123,
0.100729,
0.0985439,
0.0962765,
0.0951044,
0.0939013,
0.0926618,
0.0913793,
0.0900453,
0.0886485,
0.0871737,
0.0855998,
0.0838958,
0.0820132,
0.0798682,
0.0772939,
0.0739353,
0.0692432,
0.0627892,
0.0543843,
0.0439469,
0.0314279,
0.0167895,
-1.42708e-09,
-0.0386428,
-0.0823846,
-0.253915,
-2.6533
],
[
3.0065,
0.103779,
0.0699307,
0.0682337,
0.0664941,
0.0656043,
0.0646983,
0.0637735,
0.0628267,
0.0618536,
0.060849,
0.0598061,
0.0587156,
0.0575647,
0.0563351,
0.0549979,
0.0535039,
0.0517513,
0.049483,
0.0461324,
0.0410844,
0.0340395,
0.0248833,
0.013553,
-1.45794e-09,
-0.0331215,
-0.0728376,
-0.237007,
-2.64952
],
[
2.99626,
0.105989,
0.0558404,
0.0543735,
0.0528792,
0.052119,
0.0513482,
0.0505651,
0.0497673,
0.0489522,
0.0481164,
0.0472554,
0.0463634,
0.0454326,
0.044452,
0.0434049,
0.0422651,
0.0409834,
0.0394442,
0.0373126,
0.0339026,
0.0286368,
0.0212873,
0.0117618,
-1.47504e-09,
-0.0300099,
-0.0673969,
-0.227071,
-2.64726
],
[
2.9875,
0.112262,
0.0428918,
0.0416458,
0.0403855,
0.039748,
0.0391043,
0.0384534,
0.0377938,
0.0371238,
0.0364413,
0.0357436,
0.0350273,
0.0342877,
0.0335183,
0.0327103,
0.0318495,
0.0309126,
0.0298515,
0.0285306,
0.0265076,
0.0229985,
0.0175082,
0.00986862,
-1.49442e-09,
-0.0266772,
-0.0615234,
-0.216109,
-2.64474
],
[
2.98039,
0.122194,
0.0312996,
0.0302583,
0.0292217,
0.0287004,
0.0281765,
0.0276493,
0.0271179,
0.0265815,
0.0260387,
0.0254881,
0.0249277,
0.024355,
0.0237666,
0.0231577,
0.0225213,
0.0218466,
0.0211138,
0.0202766,
0.0191715,
0.017219,
0.0135874,
0.00788951,
-1.53327e-09,
-0.0231408,
-0.0552379,
-0.204103,
-2.64195
],
[
2.9751,
0.135572,
0.021333,
0.0204199,
0.0195974,
0.0191867,
0.018776,
0.0183649,
0.0179531,
0.01754,
0.017125,
0.0167074,
0.0162862,
0.0158601,
0.0154276,
0.0149864,
0.0145333,
0.0140637,
0.0135698,
0.0130363,
0.01242,
0.0115192,
0.00959838,
0.00585066,
-1.8871e-09,
-0.0194257,
-0.048573,
-0.191057,
-2.63888
],
[
2.9718,
0.152278,
0.0135003,
0.0123419,
0.0117249,
0.0114201,
0.0111172,
0.010816,
0.0105163,
0.0102181,
0.00992094,
0.00962462,
0.00932874,
0.00903279,
0.00873615,
0.00843793,
0.00813695,
0.00783149,
0.00751888,
0.00719446,
0.00684788,
0.00644093,
0.00572273,
0.00380708,
-7.55807e-09,
-0.0155672,
-0.0415769,
-0.177006,
-2.63554
],
[
2.97065,
0.172248,
0.00909353,
0.0062593,
0.00582851,
0.00562667,
0.00542788,
0.00523211,
0.00503931,
0.00484944,
0.00466242,
0.00447818,
0.00429661,
0.00411756,
0.00394086,
0.00376625,
0.0035934,
0.00342183,
0.00325084,
0.00307928,
0.002905,
0.0027222,
0.00249912,
0.00191492,
-1.02222e-07,
-0.0116201,
-0.0343224,
-0.162048,
-2.63191
],
[
2.97185,
0.195451,
0.0106678,
0.00248574,
0.00212438,
0.00202031,
0.00191968,
0.00182224,
0.00172795,
0.00163677,
0.00154864,
0.00146351,
0.00138132,
0.00130199,
0.00122544,
0.00115157,
0.00108027,
0.00101139,
0.000944744,
0.000880079,
0.000816985,
0.000754634,
0.000690113,
0.000590375,
-1.62336e-06,
-0.00769388,
-0.026938,
-0.146396,
-2.62804
],
[
2.97554,
0.221732,
0.0196989,
0.00139171,
0.000510151,
0.000473376,
0.000441567,
0.000411506,
0.000383047,
0.000356106,
0.000330611,
0.000306491,
0.000283677,
0.000262099,
0.000241691,
0.000222382,
0.000204105,
0.000186788,
0.000170356,
0.000154726,
0.000139796,
0.000125414,
0.00011122,
9.44566e-05,
-2.27302e-05,
-0.00410759,
-0.0197079,
-0.130425,
-2.62404
],
[
2.98138,
0.250256,
0.0345589,
0.00365616,
9.71332e-05,
4.67407e-05,
3.05038e-05,
1.56141e-05,
1.21699e-06,
-1.28378e-05,
-2.6611e-05,
-4.01388e-05,
-5.34478e-05,
-6.65602e-05,
-7.94955e-05,
-9.22714e-05,
-0.000104905,
-0.000117412,
-0.00012981,
-0.000142115,
-0.000154349,
-0.000166539,
-0.000178747,
-0.000191362,
-0.000222944,
-0.00173922,
-0.0132974,
-0.114776,
-2.62038
],
[
2.9883,
0.279794,
0.0528049,
0.0106096,
1.87713e-05,
-0.000275821,
-0.000339375,
-0.000394699,
-0.000448641,
-0.000502223,
-0.000555765,
-0.000609398,
-0.00066319,
-0.000717174,
-0.00077137,
-0.00082579,
-0.000880442,
-0.000935331,
-0.000990459,
-0.00104583,
-0.00110145,
-0.00115733,
-0.00121348,
-0.00126998,
-0.0013304,
-0.00179415,
-0.0090731,
-0.100854,
-2.61853
],
[
2.99558,
0.309712,
0.0728404,
0.0215908,
3.52907e-06,
-0.00150547,
-0.00179844,
-0.00201254,
-0.0022134,
-0.00240967,
-0.00260391,
-0.00279721,
-0.00299011,
-0.0031829,
-0.00337576,
-0.00356879,
-0.00376208,
-0.00395566,
-0.00414958,
-0.00434385,
-0.0045385,
-0.00473356,
-0.00492907,
-0.00512508,
-0.00532224,
-0.00578289,
-0.00960376,
-0.0915034,
-2.62175
],
[
3.00299,
0.339767,
0.0935709,
0.03403,
5.22446e-07,
-0.00532313,
-0.00668665,
-0.00732452,
-0.00785154,
-0.00834229,
-0.00881596,
-0.00928001,
-0.00973806,
-0.0101921,
-0.0106433,
-0.0110925,
-0.0115402,
-0.0119868,
-0.0124324,
-0.0128774,
-0.0133219,
-0.0137661,
-0.01421,
-0.0146539,
-0.0150981,
-0.0160007,
-0.0180318,
-0.0899682,
-2.63322
],
[
3.01052,
0.369815,
0.114117,
0.0462405,
4.70581e-08,
-0.0114619,
-0.0162598,
-0.0180069,
-0.019111,
-0.020039,
-0.0208924,
-0.0217059,
-0.0224951,
-0.023268,
-0.0240293,
-0.0247821,
-0.0255283,
-0.0262694,
-0.0270064,
-0.0277399,
-0.0284706,
-0.0291991,
-0.0299256,
-0.0306508,
-0.0313751,
-0.0328254,
-0.0345319,
-0.0963806,
-2.65215
],
[
3.01813,
0.399739,
0.133821,
0.0576966,
4.1077e-09,
-0.0181128,
-0.0284429,
-0.0328647,
-0.0350216,
-0.0365663,
-0.0378838,
-0.0390898,
-0.0402311,
-0.0413307,
-0.0424015,
-0.0434513,
-0.0444852,
-0.0455067,
-0.0465182,
-0.0475217,
-0.0485185,
-0.0495098,
-0.0504965,
-0.0514795,
-0.0524598,
-0.0544159,
-0.0564227,
-0.108592,
-2.67563
],
[
3.02583,
0.429434,
0.152307,
0.0682953,
1.71979e-09,
-0.0244737,
-0.0408838,
-0.0497794,
-0.053891,
-0.0563556,
-0.0582587,
-0.0599101,
-0.0614241,
-0.0628532,
-0.0642254,
-0.065557,
-0.0668583,
-0.0681363,
-0.0693959,
-0.0706405,
-0.071873,
-0.0730952,
-0.0743091,
-0.075516,
-0.0767175,
-0.0791096,
-0.0815078,
-0.124927,
-2.70177
],
[
3.0336,
0.458797,
0.169444,
0.0780482,
1.61515e-09,
-0.0303572,
-0.0526661,
-0.0669849,
-0.0743274,
-0.0782158,
-0.0808953,
-0.0830744,
-0.0849975,
-0.0867693,
-0.0884425,
-0.0900471,
-0.0916014,
-0.0931176,
-0.0946039,
-0.0960662,
-0.097509,
-0.0989357,
-0.100349,
-0.101751,
-0.103144,
-0.105911,
-0.108668,
-0.144465,
-2.72965
],
[
3.04145,
0.487725,
0.185244,
0.0869995,
1.622e-09,
-0.0357467,
-0.0635842,
-0.0834516,
-0.0952014,
-0.101267,
-0.105013,
-0.107842,
-0.110231,
-0.112372,
-0.114356,
-0.116233,
-0.118034,
-0.119778,
-0.121477,
-0.12314,
-0.124775,
-0.126387,
-0.127979,
-0.129555,
-0.131117,
-0.134212,
-0.137286,
-0.166658,
-2.7588
],
[
3.04936,
0.516108,
0.199774,
0.0952025,
1.64319e-09,
-0.0406676,
-0.0736271,
-0.0988013,
-0.115605,
-0.124792,
-0.130024,
-0.133679,
-0.136615,
-0.139165,
-0.141479,
-0.143637,
-0.145685,
-0.147652,
-0.149555,
-0.15141,
-0.153226,
-0.155009,
-0.156765,
-0.158498,
-0.160214,
-0.163602,
-0.166957,
-0.191118,
-2.78892
],
[
3.05734,
0.543821,
0.21312,
0.102712,
1.67956e-09,
-0.0451546,
-0.0828356,
-0.112969,
-0.134932,
-0.148152,
-0.155449,
-0.160172,
-0.163767,
-0.166782,
-0.169456,
-0.17191,
-0.174211,
-0.176401,
-0.178508,
-0.180548,
-0.182536,
-0.184482,
-0.186392,
-0.188274,
-0.19013,
-0.193787,
-0.197396,
-0.21754,
-2.81979
],
[
3.06536,
0.570723,
0.225369,
0.10958,
1.73773e-09,
-0.0492433,
-0.0912648,
-0.125995,
-0.152905,
-0.170782,
-0.18086,
-0.186984,
-0.191387,
-0.194942,
-0.198016,
-0.200788,
-0.203354,
-0.205774,
-0.208084,
-0.210309,
-0.212466,
-0.214569,
-0.216628,
-0.218649,
-0.220639,
-0.224546,
-0.228389,
-0.245669,
-2.85125
],
[
3.08154,
0.62142,
0.246898,
0.121593,
1.9549e-09,
-0.0563586,
-0.106016,
-0.148904,
-0.184696,
-0.212221,
-0.230042,
-0.240406,
-0.247085,
-0.252039,
-0.256084,
-0.259589,
-0.262743,
-0.265655,
-0.26839,
-0.270991,
-0.273488,
-0.275902,
-0.278247,
-0.280537,
-0.282779,
-0.287154,
-0.291424,
-0.306101,
-2.91542
],
[
3.09775,
0.666665,
0.264954,
0.131602,
2.38089e-09,
-0.0622543,
-0.118317,
-0.16812,
-0.211452,
-0.24758,
-0.27464,
-0.291716,
-0.302053,
-0.309071,
-0.314428,
-0.318859,
-0.322718,
-0.326194,
-0.329399,
-0.332405,
-0.335257,
-0.337989,
-0.340623,
-0.343178,
-0.345667,
-0.350487,
-0.355155,
-0.369998,
-2.98066
],
[
3.14314,
0.760647,
0.302977,
0.152455,
6.05934e-09,
-0.074466,
-0.144071,
-0.208745,
-0.268393,
-0.322835,
-0.371619,
-0.413528,
-0.446115,
-0.468086,
-0.48223,
-0.492027,
-0.499469,
-0.505536,
-0.510732,
-0.515339,
-0.519524,
-0.523394,
-0.527024,
-0.530462,
-0.533745,
-0.539954,
-0.545806,
-0.563321,
-3.17718
],
[
3.17806,
0.824034,
0.331822,
0.168029,
2.78931e-08,
-0.0836476,
-0.163948,
-0.240849,
-0.314298,
-0.384236,
-0.450589,
-0.513241,
-0.571976,
-0.626319,
-0.675188,
-0.716454,
-0.747866,
-0.769912,
-0.785466,
-0.797086,
-0.80631,
-0.813997,
-0.820642,
-0.826546,
-0.831898,
-0.841428,
-0.849873,
-0.87266,
-3.49064
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000666067,
"miller_cap_rise": 0.000886798,
"output_voltage_fall": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00413698
],
"index_3": [
0.0185202,
0.0273305,
0.0364487,
0.0465733,
0.0601977
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0124109
],
"index_3": [
0.0247112,
0.0459248,
0.0682196,
0.0918305,
0.1243914
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00413698
],
"index_3": [
0.0869825,
0.0987873,
0.1080391,
0.1179045,
0.1316301
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0124109
],
"index_3": [
0.0957755,
0.1176047,
0.1398334,
0.1636382,
0.1960661
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
}
]
},
"output_voltage_rise": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00124676
],
"index_3": [
0.0323563,
0.0470899,
0.0627185,
0.0805976,
0.1076938
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.01
],
"index_2": [
0.00374029
],
"index_3": [
0.0394516,
0.066863,
0.0963341,
0.1285144,
0.1768195
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00124676
],
"index_3": [
0.1048968,
0.1196571,
0.1353602,
0.1526706,
0.1798727
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00374029
],
"index_3": [
0.112368,
0.1399479,
0.1694079,
0.2019725,
0.2503511
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
}
]
},
"propagated_noise_high": {
"vector,ccsn_pnlh": [
{
"index_1": [
1.08839
],
"index_2": [
1.39138
],
"index_3": [
0.00413698
],
"index_4": [
0.7002855,
0.7564716,
0.9906427,
1.1932092,
1.2703333
],
"values": [
1.16436,
0.902974,
0.728718,
0.902974,
1.16436
]
},
{
"index_1": [
1.16529
],
"index_2": [
0.799757
],
"index_3": [
0.00413698
],
"index_4": [
0.4052935,
0.4428614,
0.6276094,
0.7239293,
0.7756931
],
"values": [
1.15426,
0.886815,
0.708519,
0.886815,
1.15426
]
},
{
"index_1": [
1.23493
],
"index_2": [
0.533396
],
"index_3": [
0.00413698
],
"index_4": [
0.2718908,
0.3002922,
0.4352889,
0.5028606,
0.5412425
],
"values": [
1.14601,
0.873611,
0.692014,
0.873611,
1.14601
]
},
{
"index_1": [
1.08839
],
"index_2": [
0.695691
],
"index_3": [
0.00413698
],
"index_4": [
0.3591307,
0.3925352,
0.5239673,
0.6118853,
0.6575285
],
"values": [
1.37774,
1.24439,
1.15549,
1.24439,
1.37774
]
},
{
"index_1": [
1.23493
],
"index_2": [
0.266698
],
"index_3": [
0.00413698
],
"index_4": [
0.1419795,
0.1589867,
0.2204708,
0.2598403,
0.2838145
],
"values": [
1.3763,
1.24209,
1.15261,
1.24209,
1.3763
]
},
{
"index_1": [
1.16529
],
"index_2": [
0.799757
],
"index_3": [
0.0124109
],
"index_4": [
0.4083934,
0.4480592,
0.5949445,
0.7391832,
0.805181
],
"values": [
1.40622,
1.28996,
1.21245,
1.28996,
1.40622
]
},
{
"index_1": [
1.23493
],
"index_2": [
0.533396
],
"index_3": [
0.0124109
],
"index_4": [
0.2741029,
0.3038844,
0.4398192,
0.5158939,
0.5690213
],
"values": [
1.40289,
1.28463,
1.20579,
1.28463,
1.40289
]
}
]
},
"propagated_noise_low": {
"vector,ccsn_pnlh": [
{
"index_1": [
1.21599
],
"index_2": [
3.15608
],
"index_3": [
0.00124676
],
"index_4": [
1.5884323,
1.6940718,
2.0828069,
2.2954636,
2.3753433
],
"values": [
0.479689,
0.767503,
0.959378,
0.767503,
0.479689
]
},
{
"index_1": [
1.28292
],
"index_2": [
1.84547
],
"index_3": [
0.00124676
],
"index_4": [
0.9350421,
1.0077349,
1.2840304,
1.3977544,
1.4485375
],
"values": [
0.498591,
0.797745,
0.997182,
0.797745,
0.498591
]
},
{
"index_1": [
1.33819
],
"index_2": [
1.26999
],
"index_3": [
0.00124676
],
"index_4": [
0.6465959,
0.7035143,
0.888263,
0.9897983,
1.0277293
],
"values": [
0.516089,
0.825742,
1.03218,
0.825742,
0.516089
]
},
{
"index_1": [
1.21599
],
"index_2": [
1.57804
],
"index_3": [
0.00124676
],
"index_4": [
0.8132238,
0.8781541,
1.0638092,
1.1666455,
1.2068084
],
"values": [
0.260601,
0.416961,
0.521201,
0.416961,
0.260601
]
},
{
"index_1": [
1.33819
],
"index_2": [
0.634993
],
"index_3": [
0.00124676
],
"index_4": [
0.335556,
0.370513,
0.4662674,
0.5035416,
0.5230445
],
"values": [
0.271686,
0.434698,
0.543372,
0.434698,
0.271686
]
},
{
"index_1": [
1.28292
],
"index_2": [
1.84547
],
"index_3": [
0.00374029
],
"index_4": [
0.9393435,
1.0154323,
1.2542931,
1.4092513,
1.4631207
],
"values": [
0.287245,
0.459592,
0.57449,
0.459592,
0.287245
]
},
{
"index_1": [
1.33819
],
"index_2": [
1.26999
],
"index_3": [
0.00374029
],
"index_4": [
0.6491068,
0.7075651,
0.884026,
0.9992402,
1.0386917
],
"values": [
0.296201,
0.473921,
0.592401,
0.473921,
0.296201
]
}
]
},
"stage_type": "both"
}
],
"direction": "output",
"function": "IQ",
"internal_power": [
{
"fall_power,pwr_template13x14": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00946,
0.01135,
0.01362,
0.01634,
0.01961,
0.02353,
0.02823,
0.03388,
0.04066,
0.04879,
0.05855,
0.07026,
0.08431
],
"values": [
[
0.0126356,
0.0088184,
0.0071931,
0.0047759,
0.0015953,
-0.0024683,
-0.0074314,
-0.013407,
-0.0206307,
-0.0292905,
-0.0396837,
-0.052167,
-0.067143,
-0.085119
],
[
0.0125246,
0.0086559,
0.0070661,
0.0046584,
0.001465,
-0.0025953,
-0.0075475,
-0.0135365,
-0.0207501,
-0.029414,
-0.0398049,
-0.0522856,
-0.0672569,
-0.0852394
],
[
0.0124074,
0.0085479,
0.0069207,
0.0045392,
0.0013374,
-0.00271,
-0.0076662,
-0.0136574,
-0.0208713,
-0.0295276,
-0.0399217,
-0.052395,
-0.067368,
-0.0853631
],
[
0.0122867,
0.0084909,
0.0068622,
0.004487,
0.0013027,
-0.0027583,
-0.0077124,
-0.0136993,
-0.0209146,
-0.0295768,
-0.039967,
-0.0524418,
-0.0674324,
-0.0854022
],
[
0.0123251,
0.0085743,
0.0069272,
0.0045246,
0.001344,
-0.0027089,
-0.0076772,
-0.0136458,
-0.0208745,
-0.0295229,
-0.0399329,
-0.0524016,
-0.067389,
-0.0853602
],
[
0.0123018,
0.0084941,
0.0068456,
0.0044497,
0.0012648,
-0.0027856,
-0.0077531,
-0.0137204,
-0.0209498,
-0.0295969,
-0.0400079,
-0.0524756,
-0.0674641,
-0.085435
],
[
0.0121898,
0.0083763,
0.006757,
0.0043892,
0.0012101,
-0.0028471,
-0.0077986,
-0.0137829,
-0.0209973,
-0.0296578,
-0.0400479,
-0.0525222,
-0.0675158,
-0.0854849
],
[
0.012152,
0.0083218,
0.0067031,
0.0043133,
0.0011079,
-0.0029201,
-0.0078745,
-0.0138673,
-0.0210757,
-0.0297281,
-0.0401144,
-0.052598,
-0.0675718,
-0.0855544
],
[
0.0120811,
0.008255,
0.0066291,
0.0042375,
0.0010323,
-0.0029911,
-0.0079441,
-0.0139331,
-0.0211405,
-0.0297886,
-0.0401739,
-0.0526571,
-0.067628,
-0.0856115
],
[
0.0120069,
0.0081483,
0.006513,
0.004107,
0.0009378,
-0.0031143,
-0.0080653,
-0.0140339,
-0.0212429,
-0.0298972,
-0.0402817,
-0.052759,
-0.0677319,
-0.0857047
],
[
0.0118307,
0.0079969,
0.0063645,
0.0039543,
0.0007902,
-0.0032606,
-0.0082066,
-0.014172,
-0.0213762,
-0.0300179,
-0.0404083,
-0.0528767,
-0.0678481,
-0.0858172
],
[
0.0117112,
0.0077781,
0.0061325,
0.0037481,
0.0005669,
-0.0034836,
-0.0084189,
-0.014385,
-0.0215825,
-0.0302261,
-0.0405984,
-0.0530565,
-0.0680479,
-0.0859999
],
[
0.0115074,
0.0076946,
0.0060202,
0.0035642,
0.0003384,
-0.0036978,
-0.0086431,
-0.0146066,
-0.0218034,
-0.0304371,
-0.0408085,
-0.0532712,
-0.0682365,
-0.0862071
]
]
},
"related_pin": "RESET_B",
"rise_power,pwr_template13x14": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00946,
0.01135,
0.01362,
0.01634,
0.01961,
0.02353,
0.02823,
0.03388,
0.04066,
0.04879,
0.05855,
0.07026,
0.08431
],
"values": [
[
0.0135684,
0.0260504,
0.0286083,
0.0314371,
0.0348502,
0.0390739,
0.044008,
0.049761,
0.0570685,
0.0655918,
0.075855,
0.0884993,
0.1033323,
0.1208835
],
[
0.0135377,
0.0261914,
0.0285654,
0.0314256,
0.0349411,
0.039052,
0.0439402,
0.0499107,
0.0571611,
0.0654191,
0.0760987,
0.0885195,
0.1032667,
0.1208007
],
[
0.0135238,
0.0261024,
0.0285763,
0.0313856,
0.0348126,
0.0390527,
0.0439576,
0.049738,
0.0570519,
0.0655531,
0.0759155,
0.0882823,
0.1033503,
0.1208616
],
[
0.01348,
0.0259273,
0.0283748,
0.0311823,
0.0346428,
0.0388845,
0.043847,
0.0497094,
0.0568743,
0.0654754,
0.0761252,
0.088319,
0.1032528,
0.120849
],
[
0.0134424,
0.0258815,
0.0283346,
0.031221,
0.0346148,
0.0387261,
0.0438248,
0.0496764,
0.0568156,
0.0653942,
0.075509,
0.0880904,
0.1029029,
0.1209414
],
[
0.0134668,
0.02591,
0.0283606,
0.0312632,
0.0346747,
0.0388606,
0.0437628,
0.0497128,
0.0566858,
0.0655526,
0.0756766,
0.0882878,
0.1028437,
0.1210047
],
[
0.0133444,
0.0259493,
0.028316,
0.0313041,
0.0347281,
0.0389065,
0.0438161,
0.04977,
0.0569011,
0.0656333,
0.0757602,
0.0881115,
0.1028333,
0.1210716
],
[
0.0132516,
0.0257432,
0.0282565,
0.0312002,
0.0346554,
0.0387638,
0.0437029,
0.0496703,
0.0568893,
0.0653629,
0.0755909,
0.088031,
0.1027947,
0.120945
],
[
0.0131979,
0.0257793,
0.0282204,
0.0311008,
0.0345318,
0.0386426,
0.0437548,
0.0496381,
0.0568393,
0.0652337,
0.0756251,
0.0880503,
0.1030354,
0.1206382
],
[
0.0131158,
0.0256232,
0.0281099,
0.0309953,
0.0344336,
0.0386553,
0.0436565,
0.0495195,
0.0567199,
0.065225,
0.0754479,
0.0879491,
0.1028606,
0.1206035
],
[
0.0132513,
0.0254099,
0.0279218,
0.0308534,
0.0342501,
0.0384172,
0.0435253,
0.0493697,
0.0565735,
0.0651576,
0.0755213,
0.0879365,
0.1026107,
0.120333
],
[
0.0133188,
0.0254799,
0.0277922,
0.0306766,
0.0340472,
0.0383552,
0.04335,
0.0493124,
0.0563246,
0.0650073,
0.0753692,
0.0876556,
0.1026635,
0.1204549
],
[
0.0132504,
0.0255069,
0.0278055,
0.0306241,
0.0341484,
0.0382696,
0.0433279,
0.0491705,
0.0563679,
0.0650204,
0.0752871,
0.0877971,
0.1024352,
0.1206475
]
]
}
},
{
"fall_power,pwr_template13x14": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00946,
0.01135,
0.01362,
0.01634,
0.01961,
0.02353,
0.02823,
0.03388,
0.04066,
0.04879,
0.05855,
0.07026,
0.08431
],
"values": [
[
0.0093709,
-0.0007996,
-0.0031314,
-0.0059656,
-0.0093923,
-0.0135252,
-0.01851,
-0.024499,
-0.0317142,
-0.0403751,
-0.0507693,
-0.0632481,
-0.0782267,
-0.0962041
],
[
0.0094603,
-0.000703,
-0.0030304,
-0.0058633,
-0.0092964,
-0.0134326,
-0.018418,
-0.0244031,
-0.0316073,
-0.040274,
-0.050669,
-0.0631382,
-0.0781328,
-0.0960945
],
[
0.0094856,
-0.0006785,
-0.003014,
-0.0058563,
-0.009288,
-0.0134191,
-0.0184106,
-0.024391,
-0.0315981,
-0.0402723,
-0.0506603,
-0.063137,
-0.0781161,
-0.0960855
],
[
0.0094896,
-0.0006823,
-0.003025,
-0.0058574,
-0.0092723,
-0.0134191,
-0.0184043,
-0.024397,
-0.0316043,
-0.0402676,
-0.0506548,
-0.0631395,
-0.0781117,
-0.0960857
],
[
0.0095063,
-0.0006761,
-0.0030004,
-0.005836,
-0.0092667,
-0.0134022,
-0.0183948,
-0.0243779,
-0.0315804,
-0.0402544,
-0.050637,
-0.0631181,
-0.078105,
-0.0960641
],
[
0.0094576,
-0.0007246,
-0.0030513,
-0.0058976,
-0.0093192,
-0.0134662,
-0.0184412,
-0.0244336,
-0.0316423,
-0.0403075,
-0.0506966,
-0.0631784,
-0.07815,
-0.0961235
],
[
0.0093786,
-0.0007721,
-0.0031202,
-0.0059528,
-0.0093703,
-0.0135119,
-0.0185068,
-0.024498,
-0.0316976,
-0.0403587,
-0.0507547,
-0.0632341,
-0.0782075,
-0.0961821
],
[
0.0093379,
-0.000832,
-0.0031641,
-0.006006,
-0.0094299,
-0.0135663,
-0.0185427,
-0.0245465,
-0.0317492,
-0.0404186,
-0.0508104,
-0.0632811,
-0.078265,
-0.096245
],
[
0.0093159,
-0.0008364,
-0.0031793,
-0.0060159,
-0.0094433,
-0.013579,
-0.0185657,
-0.0245495,
-0.0317457,
-0.0404291,
-0.0508092,
-0.0632855,
-0.0782795,
-0.0962476
],
[
0.0092592,
-0.0009205,
-0.003245,
-0.0060803,
-0.0095087,
-0.0136512,
-0.0186385,
-0.0246211,
-0.0318195,
-0.0405039,
-0.0508974,
-0.0633539,
-0.0783402,
-0.0963188
],
[
0.0091987,
-0.0009655,
-0.0032943,
-0.006132,
-0.0095548,
-0.0137024,
-0.0186841,
-0.0246781,
-0.031888,
-0.0405466,
-0.050931,
-0.0634163,
-0.0783909,
-0.0963657
],
[
0.0091736,
-0.0010023,
-0.0033306,
-0.0061628,
-0.0095895,
-0.0137268,
-0.0187124,
-0.0246938,
-0.0319105,
-0.0405701,
-0.0509659,
-0.0634334,
-0.078415,
-0.0963868
],
[
0.0090692,
-0.0010364,
-0.0033633,
-0.0062008,
-0.0096246,
-0.0137667,
-0.0187461,
-0.0247373,
-0.0319483,
-0.040609,
-0.0509937,
-0.0634796,
-0.078455,
-0.0964308
]
]
},
"related_pin": "D",
"rise_power,pwr_template13x14": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00946,
0.01135,
0.01362,
0.01634,
0.01961,
0.02353,
0.02823,
0.03388,
0.04066,
0.04879,
0.05855,
0.07026,
0.08431
],
"values": [
[
0.0134967,
0.0263656,
0.0287279,
0.0317297,
0.0351786,
0.0393299,
0.0442619,
0.0502627,
0.0574755,
0.0659624,
0.0765434,
0.0885958,
0.103576,
0.1214138
],
[
0.013635,
0.0264886,
0.0288469,
0.0318318,
0.0352799,
0.0394577,
0.0443824,
0.0503732,
0.0576836,
0.0660821,
0.0766436,
0.088752,
0.1039833,
0.1215009
],
[
0.0136142,
0.0264416,
0.0288974,
0.0317918,
0.0352284,
0.0394125,
0.0444044,
0.0503861,
0.0576388,
0.0662054,
0.0764553,
0.0890759,
0.1035594,
0.1215305
],
[
0.0138259,
0.0266284,
0.0291068,
0.0320135,
0.0354594,
0.039656,
0.044656,
0.0505704,
0.0576275,
0.0664402,
0.0765114,
0.0890236,
0.1038143,
0.1220415
],
[
0.0141459,
0.02702,
0.0294051,
0.0322462,
0.0357353,
0.0399868,
0.0450177,
0.0509784,
0.0579144,
0.066763,
0.0770447,
0.0894824,
0.1044416,
0.1220112
],
[
0.0138932,
0.0267328,
0.0292166,
0.0320508,
0.0354787,
0.0396554,
0.0447164,
0.0507708,
0.0576684,
0.0665658,
0.0766367,
0.0891544,
0.1042103,
0.1219113
],
[
0.0135274,
0.0263368,
0.0287996,
0.0317218,
0.0351148,
0.0392922,
0.0442724,
0.0503626,
0.0572944,
0.0662057,
0.0762911,
0.0887299,
0.1037787,
0.1216621
],
[
0.0131533,
0.0260418,
0.0284498,
0.0312752,
0.0347306,
0.0390291,
0.0440041,
0.0500226,
0.0571324,
0.0658635,
0.0761005,
0.0884839,
0.1034305,
0.1212023
],
[
0.0125763,
0.0253464,
0.0278579,
0.0307391,
0.0342885,
0.0384397,
0.043416,
0.0493546,
0.0566789,
0.0651599,
0.0753766,
0.0879114,
0.1028114,
0.1208117
],
[
0.0124795,
0.025285,
0.0277472,
0.0306685,
0.0340624,
0.0382366,
0.0432379,
0.0493029,
0.056242,
0.0651183,
0.0751994,
0.0877336,
0.1026333,
0.1205841
],
[
0.0124454,
0.0252239,
0.0277237,
0.0306452,
0.0340678,
0.038194,
0.043215,
0.0491844,
0.056337,
0.0650638,
0.0753019,
0.0877754,
0.1024193,
0.1205781
],
[
0.0124116,
0.0252584,
0.0276187,
0.0305269,
0.0340867,
0.0382459,
0.0432648,
0.0491264,
0.056321,
0.064929,
0.0753973,
0.0876228,
0.1025298,
0.1206
],
[
0.0125143,
0.0252421,
0.0277088,
0.0306276,
0.0340509,
0.0382107,
0.0432819,
0.0493016,
0.0562006,
0.0649665,
0.0752568,
0.0876075,
0.1023658,
0.120276
]
]
}
},
{
"fall_power,pwr_template13x14": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00946,
0.01135,
0.01362,
0.01634,
0.01961,
0.02353,
0.02823,
0.03388,
0.04066,
0.04879,
0.05855,
0.07026,
0.08431
],
"values": [
[
0.0175192,
0.0074152,
0.0050769,
0.0022346,
-0.0011929,
-0.0053294,
-0.0103069,
-0.0162931,
-0.0235115,
-0.0321639,
-0.0425543,
-0.0550389,
-0.0700108,
-0.0879864
],
[
0.0174801,
0.0073677,
0.0050237,
0.0022008,
-0.0012248,
-0.0053658,
-0.0103506,
-0.0163484,
-0.0235528,
-0.0322114,
-0.0426013,
-0.0550862,
-0.0700623,
-0.0880357
],
[
0.0174454,
0.0073009,
0.00498,
0.002145,
-0.0012733,
-0.0054096,
-0.0103961,
-0.0163842,
-0.0235952,
-0.0322618,
-0.0426488,
-0.0551289,
-0.0701064,
-0.0880801
],
[
0.0174717,
0.0073222,
0.0049727,
0.00214,
-0.0012734,
-0.0054107,
-0.0103913,
-0.0163839,
-0.023596,
-0.0322568,
-0.0426455,
-0.0551331,
-0.0701058,
-0.0880802
],
[
0.017608,
0.0074532,
0.0051101,
0.0022915,
-0.00115,
-0.0052738,
-0.0102582,
-0.0162534,
-0.0234605,
-0.0321173,
-0.042514,
-0.0549871,
-0.0699684,
-0.0879395
],
[
0.0175346,
0.0073887,
0.0050735,
0.0022257,
-0.001196,
-0.0053364,
-0.0103105,
-0.0163024,
-0.0235159,
-0.0321668,
-0.0425604,
-0.0550413,
-0.0700184,
-0.0879932
],
[
0.017507,
0.0073643,
0.0050365,
0.0022174,
-0.0012123,
-0.0053497,
-0.0103352,
-0.0163234,
-0.0235324,
-0.0321944,
-0.0425778,
-0.0550621,
-0.0700425,
-0.0880212
],
[
0.0174783,
0.007337,
0.005018,
0.0021755,
-0.0012504,
-0.0053819,
-0.0103575,
-0.0163434,
-0.0235584,
-0.0322255,
-0.0426184,
-0.0550888,
-0.0700686,
-0.0880391
],
[
0.0174733,
0.0073293,
0.0050125,
0.0021646,
-0.001255,
-0.0053983,
-0.0103724,
-0.0163577,
-0.0235647,
-0.0322305,
-0.0426221,
-0.055103,
-0.0700814,
-0.0880489
],
[
0.0173799,
0.0072452,
0.0049142,
0.00209,
-0.0013468,
-0.005474,
-0.0104611,
-0.0164557,
-0.0236561,
-0.0323145,
-0.0427143,
-0.055187,
-0.0701681,
-0.0881403
],
[
0.0173384,
0.0071974,
0.0048654,
0.0020419,
-0.001392,
-0.0055253,
-0.0105104,
-0.0165015,
-0.0237057,
-0.0323648,
-0.0427583,
-0.0552408,
-0.0702151,
-0.088189
],
[
0.017263,
0.0071121,
0.0047807,
0.0019529,
-0.0014737,
-0.0056075,
-0.0105932,
-0.0165815,
-0.0237817,
-0.0324516,
-0.0428405,
-0.0553232,
-0.0702991,
-0.0882697
],
[
0.0171829,
0.0070437,
0.0047252,
0.0018847,
-0.0015349,
-0.0056799,
-0.0106584,
-0.0166346,
-0.023842,
-0.0325053,
-0.0428966,
-0.0553796,
-0.0703543,
-0.0883246
]
]
},
"related_pin": "GATE_N",
"rise_power,pwr_template13x14": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00946,
0.01135,
0.01362,
0.01634,
0.01961,
0.02353,
0.02823,
0.03388,
0.04066,
0.04879,
0.05855,
0.07026,
0.08431
],
"values": [
[
0.0195387,
0.0323763,
0.0347986,
0.0376979,
0.0411581,
0.045277,
0.0502758,
0.0562332,
0.0633662,
0.0721977,
0.082538,
0.0947755,
0.1094469,
0.1274404
],
[
0.019439,
0.0322461,
0.0347438,
0.0376343,
0.0410864,
0.0451933,
0.0502805,
0.0562967,
0.0633773,
0.0721046,
0.0824232,
0.0945539,
0.1095987,
0.1271572
],
[
0.0194151,
0.032213,
0.0346361,
0.0375473,
0.0410934,
0.0452562,
0.0502683,
0.0562716,
0.0634871,
0.0719761,
0.0824321,
0.0947194,
0.1096727,
0.1271542
],
[
0.0194054,
0.0322738,
0.0346963,
0.0375635,
0.0410864,
0.0452865,
0.0502815,
0.0562769,
0.0634353,
0.0721346,
0.0821324,
0.094878,
0.1094524,
0.127473
],
[
0.0194448,
0.032268,
0.0347329,
0.0376333,
0.041115,
0.0453242,
0.050318,
0.0562996,
0.0634722,
0.0721703,
0.0822501,
0.0947845,
0.1095896,
0.127298
],
[
0.0194965,
0.0323782,
0.0347911,
0.0376318,
0.041198,
0.0453621,
0.0503635,
0.0562138,
0.063596,
0.0721056,
0.0823983,
0.0949108,
0.1094223,
0.1276491
],
[
0.0194816,
0.0322944,
0.034776,
0.0376759,
0.041089,
0.0452447,
0.050346,
0.0563452,
0.0634772,
0.0721528,
0.0823378,
0.0949496,
0.1095594,
0.1275753
],
[
0.0194685,
0.0323161,
0.0346945,
0.0376519,
0.0411319,
0.0453404,
0.0503342,
0.0563252,
0.0634682,
0.0721867,
0.0822023,
0.0949303,
0.1095327,
0.1274621
],
[
0.0194733,
0.0322874,
0.0347583,
0.0375922,
0.04113,
0.0453422,
0.0503372,
0.0563292,
0.0634778,
0.0721898,
0.0821994,
0.0949317,
0.1095139,
0.1275359
],
[
0.019391,
0.032147,
0.0345916,
0.0375918,
0.0410718,
0.0451723,
0.0501378,
0.0562364,
0.0633104,
0.0720595,
0.0822988,
0.0947014,
0.1094884,
0.1273143
],
[
0.0193254,
0.0321671,
0.0345449,
0.0374556,
0.0410025,
0.0451662,
0.0501794,
0.0561829,
0.063399,
0.071889,
0.0821467,
0.0946316,
0.109551,
0.1270669
],
[
0.019347,
0.0322156,
0.0345978,
0.0375605,
0.0410192,
0.045206,
0.050202,
0.0562303,
0.0633181,
0.071772,
0.0821613,
0.0947124,
0.1096993,
0.1272268
],
[
0.0194325,
0.0322776,
0.0346915,
0.037531,
0.0409622,
0.0451527,
0.0502656,
0.0561362,
0.0633743,
0.0719179,
0.0824381,
0.0945314,
0.1097375,
0.1272639
]
]
}
}
],
"max_capacitance": 0.08431,
"max_transition": 1.520473,
"output_voltage": "GENERAL",
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"cell_fall,delay_template13x14": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00946,
0.01135,
0.01362,
0.01634,
0.01961,
0.02353,
0.02823,
0.03388,
0.04066,
0.04879,
0.05855,
0.07026,
0.08431
],
"values": [
[
0.2126999,
0.278767,
0.2885492,
0.299669,
0.3126135,
0.3276712,
0.345372,
0.3662275,
0.3906696,
0.4187861,
0.4511009,
0.4887997,
0.5334518,
0.586914
],
[
0.2163154,
0.2821726,
0.291929,
0.303061,
0.3159885,
0.3310865,
0.3487536,
0.3696314,
0.3940644,
0.4221973,
0.4545044,
0.4922016,
0.5368889,
0.5903207
],
[
0.2204423,
0.2862157,
0.2959838,
0.3071692,
0.3200637,
0.3351749,
0.352858,
0.3737576,
0.398176,
0.4263243,
0.4586398,
0.4963375,
0.5410101,
0.5944234
],
[
0.2263769,
0.2928614,
0.302597,
0.31376,
0.3266974,
0.341787,
0.359453,
0.3803297,
0.4047657,
0.4329026,
0.465214,
0.5029168,
0.5475996,
0.6010353
],
[
0.2379038,
0.3041033,
0.3139056,
0.3250692,
0.3380429,
0.3531206,
0.3708382,
0.3917024,
0.4161624,
0.4442786,
0.476591,
0.5143158,
0.5589573,
0.6124126
],
[
0.2549182,
0.3205261,
0.3303132,
0.3414923,
0.3544602,
0.3695404,
0.3872645,
0.4081284,
0.4325969,
0.4607187,
0.4930359,
0.5307607,
0.5754047,
0.6288589
],
[
0.2801228,
0.3461437,
0.3558779,
0.3670571,
0.3800047,
0.3951034,
0.4127803,
0.4336655,
0.4581113,
0.4862525,
0.5185803,
0.5562854,
0.6009604,
0.6544091
],
[
0.3188201,
0.3846731,
0.3943352,
0.4055984,
0.4185116,
0.4336312,
0.4513453,
0.4722303,
0.4967028,
0.524858,
0.5571496,
0.5948514,
0.6395652,
0.6929394
],
[
0.3775084,
0.4435529,
0.4532333,
0.4645025,
0.4774281,
0.4925562,
0.5102777,
0.5311594,
0.5556418,
0.5837889,
0.6160806,
0.6537892,
0.6984983,
0.7518701
],
[
0.4657214,
0.5313887,
0.5412137,
0.5523733,
0.5653641,
0.5804658,
0.5982078,
0.6190993,
0.6435147,
0.6716471,
0.7039673,
0.7416752,
0.7863532,
0.8398169
],
[
0.5996318,
0.6660059,
0.6758431,
0.6870267,
0.7000379,
0.7151628,
0.7329283,
0.7538428,
0.7782994,
0.8064099,
0.8387199,
0.8764383,
0.921087,
0.9745799
],
[
0.7946567,
0.8637654,
0.8735996,
0.8850277,
0.8981241,
0.9134054,
0.9312397,
0.9522229,
0.9766841,
1.0047921,
1.0370569,
1.0747426,
1.1194439,
1.1728983
],
[
1.0600314,
1.1398271,
1.1506726,
1.1627878,
1.1766373,
1.1927662,
1.2114058,
1.2330107,
1.2578585,
1.2858814,
1.3180471,
1.3556457,
1.400273,
1.4535917
]
]
},
"cell_rise,delay_template13x14": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00946,
0.01135,
0.01362,
0.01634,
0.01961,
0.02353,
0.02823,
0.03388,
0.04066,
0.04879,
0.05855,
0.07026,
0.08431
],
"values": [
[
0.1397956,
0.2697634,
0.2945031,
0.3241115,
0.3594952,
0.4016161,
0.4528982,
0.5136434,
0.5864323,
0.6748641,
0.7800235,
0.9071868,
1.0600412,
1.2411144
],
[
0.1432305,
0.2737019,
0.2981502,
0.3282715,
0.3631479,
0.4058884,
0.4565629,
0.5174055,
0.5908677,
0.6789215,
0.7846626,
0.9109906,
1.0638331,
1.2448267
],
[
0.1477159,
0.2776877,
0.3023818,
0.3321171,
0.3674711,
0.4096987,
0.4609473,
0.5216723,
0.5945479,
0.6829481,
0.7886634,
0.9149898,
1.06618,
1.2486874
],
[
0.1535339,
0.2837942,
0.3086194,
0.3383396,
0.3735915,
0.4160213,
0.4671095,
0.5277693,
0.6007697,
0.689106,
0.7942188,
0.9207039,
1.0740149,
1.255784
],
[
0.1619271,
0.2921488,
0.3171729,
0.3465819,
0.3822628,
0.4243284,
0.4756122,
0.5365135,
0.6092772,
0.6976897,
0.8035057,
0.9301082,
1.0814662,
1.2655245
],
[
0.1743458,
0.3044434,
0.3289344,
0.3590646,
0.3942687,
0.4369521,
0.487427,
0.5487629,
0.6222315,
0.7101153,
0.8156529,
0.9429868,
1.095117,
1.2771372
],
[
0.1926151,
0.3228768,
0.3475719,
0.3775618,
0.4128352,
0.4555106,
0.506012,
0.5674092,
0.6409065,
0.7288594,
0.8337727,
0.9614235,
1.113953,
1.2947937
],
[
0.2190299,
0.3493742,
0.3743074,
0.4040222,
0.4397015,
0.4820681,
0.5329469,
0.5943642,
0.6676393,
0.755458,
0.8609132,
0.9880524,
1.1411856,
1.3214674
],
[
0.2575414,
0.3881399,
0.4126311,
0.4419679,
0.4780861,
0.5200809,
0.5715587,
0.6331857,
0.70631,
0.79485,
0.9005286,
1.0269618,
1.1787106,
1.3642646
],
[
0.3096862,
0.4412188,
0.4662002,
0.4956964,
0.5317998,
0.5738985,
0.6254272,
0.6865368,
0.7595759,
0.8481814,
0.9542666,
1.0812972,
1.2323795,
1.4177201
],
[
0.3799889,
0.5130229,
0.5377634,
0.5676153,
0.6031892,
0.6458468,
0.6970835,
0.7588284,
0.8319025,
0.9202438,
1.025372,
1.1531526,
1.3061114,
1.4883814
],
[
0.4742466,
0.6088748,
0.6339551,
0.6638851,
0.6995826,
0.7427361,
0.7935705,
0.8547287,
0.9282567,
1.016246,
1.1223649,
1.2503012,
1.4010105,
1.5864733
],
[
0.598845,
0.7366471,
0.7617453,
0.7915603,
0.8272381,
0.8703453,
0.9210415,
0.9829153,
1.0566897,
1.1445701,
1.2504489,
1.3774935,
1.5295371,
1.7135113
]
]
},
"fall_transition,delay_template13x14": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00946,
0.01135,
0.01362,
0.01634,
0.01961,
0.02353,
0.02823,
0.03388,
0.04066,
0.04879,
0.05855,
0.07026,
0.08431
],
"values": [
[
0.0291488,
0.0827267,
0.0921853,
0.1031925,
0.1172437,
0.1341409,
0.1529097,
0.1746225,
0.2007833,
0.2313628,
0.2691921,
0.3148756,
0.3704917,
0.4364561
],
[
0.0288904,
0.0826424,
0.09211,
0.1037052,
0.1175635,
0.1338127,
0.1526616,
0.1748926,
0.2006539,
0.2314599,
0.2690936,
0.3152172,
0.3709456,
0.4386738
],
[
0.0292529,
0.0827912,
0.0922221,
0.1038686,
0.1174746,
0.1337718,
0.1527943,
0.1749296,
0.2005293,
0.2320785,
0.2691705,
0.3152152,
0.3710254,
0.439083
],
[
0.0293118,
0.0827587,
0.0920732,
0.1035881,
0.1175386,
0.1338551,
0.1526952,
0.1748842,
0.2006723,
0.2314494,
0.2691227,
0.3152147,
0.3709035,
0.438572
],
[
0.0293314,
0.0827395,
0.0921387,
0.1033939,
0.1172757,
0.1339225,
0.1529623,
0.1747185,
0.2008464,
0.2314089,
0.2692892,
0.3152913,
0.3709431,
0.437752
],
[
0.02894,
0.0827257,
0.0920774,
0.1034763,
0.1172252,
0.1339231,
0.1529593,
0.1747205,
0.2008445,
0.231441,
0.2692981,
0.3153051,
0.3709449,
0.4377218
],
[
0.028941,
0.0826632,
0.0920262,
0.1036298,
0.1175542,
0.133842,
0.1527191,
0.17485,
0.2007332,
0.2314544,
0.2691204,
0.3151556,
0.3707677,
0.4385163
],
[
0.0289203,
0.0821949,
0.0921318,
0.1037482,
0.1171135,
0.1336123,
0.1529896,
0.1750014,
0.2003949,
0.2320608,
0.2694108,
0.3145035,
0.3703639,
0.4380138
],
[
0.0292028,
0.0822684,
0.0922646,
0.10373,
0.1170452,
0.1336872,
0.1529849,
0.1750158,
0.2004187,
0.2320328,
0.2693323,
0.3143352,
0.3702122,
0.4378183
],
[
0.0291427,
0.0826773,
0.0921669,
0.1032279,
0.1172783,
0.1341745,
0.1528836,
0.1745171,
0.2007099,
0.2313556,
0.2691074,
0.3149715,
0.3704302,
0.4378412
],
[
0.029926,
0.0828031,
0.0922896,
0.1032255,
0.1173567,
0.1342128,
0.152859,
0.1744574,
0.200707,
0.2310852,
0.2691391,
0.3149936,
0.3706384,
0.4377153
],
[
0.033424,
0.0849099,
0.094402,
0.1055557,
0.1189984,
0.1352122,
0.1534649,
0.1755273,
0.2010605,
0.2316793,
0.2690965,
0.3151225,
0.3706552,
0.4381906
],
[
0.0428285,
0.0946106,
0.1041903,
0.1149443,
0.1278742,
0.1426333,
0.1609551,
0.1812159,
0.2061192,
0.235888,
0.2718129,
0.3161798,
0.3708095,
0.4391583
]
]
},
"related_pin": "RESET_B",
"rise_transition,delay_template13x14": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00946,
0.01135,
0.01362,
0.01634,
0.01961,
0.02353,
0.02823,
0.03388,
0.04066,
0.04879,
0.05855,
0.07026,
0.08431
],
"values": [
[
0.0369852,
0.2018062,
0.2337697,
0.2745781,
0.3219098,
0.3787921,
0.4483428,
0.5328037,
0.6302036,
0.7491068,
0.8919042,
1.066321,
1.2718008,
1.5156589
],
[
0.0368862,
0.2010749,
0.2345263,
0.2739481,
0.3208884,
0.379186,
0.4495908,
0.5308232,
0.6310197,
0.7524806,
0.8936791,
1.0663275,
1.2715375,
1.5150694
],
[
0.0369837,
0.2009394,
0.233515,
0.2745583,
0.3219118,
0.3786468,
0.448407,
0.532711,
0.6301341,
0.7491118,
0.8912771,
1.0642067,
1.2703968,
1.516253
],
[
0.0369703,
0.2010092,
0.2333882,
0.2744156,
0.3219357,
0.3780696,
0.4487396,
0.5323875,
0.6304519,
0.7485695,
0.8937093,
1.0632309,
1.2696389,
1.5179304
],
[
0.0370892,
0.2022714,
0.2342397,
0.2740885,
0.3214292,
0.3790666,
0.4474933,
0.5333262,
0.630255,
0.7487372,
0.8932793,
1.063704,
1.2688961,
1.5204569
],
[
0.0370188,
0.2007941,
0.2334623,
0.2742286,
0.3210429,
0.3794768,
0.4482549,
0.5301466,
0.6307793,
0.7494575,
0.892688,
1.0666682,
1.2662621,
1.5186769
],
[
0.0369635,
0.2005706,
0.2345489,
0.274137,
0.321308,
0.3794275,
0.448269,
0.5299801,
0.6308647,
0.7495533,
0.8911415,
1.0650638,
1.2697899,
1.5187308
],
[
0.0372441,
0.2017297,
0.2345261,
0.2733182,
0.3221837,
0.3793276,
0.4478644,
0.5322769,
0.6324474,
0.748177,
0.8896352,
1.0651459,
1.2680671,
1.5178618
],
[
0.0377394,
0.2024806,
0.2344456,
0.2739987,
0.3227176,
0.3792095,
0.4473114,
0.5327009,
0.6306395,
0.7512448,
0.896091,
1.06229,
1.2708542,
1.5175592
],
[
0.0391864,
0.2014275,
0.2347258,
0.2746746,
0.3224717,
0.3789316,
0.4483295,
0.533097,
0.6306837,
0.7509678,
0.8934016,
1.0614689,
1.2719549,
1.5188075
],
[
0.0413065,
0.2009739,
0.2342567,
0.2737852,
0.3243655,
0.3800537,
0.448338,
0.5307623,
0.6310824,
0.7496375,
0.891559,
1.0653153,
1.2662433,
1.5128967
],
[
0.044451,
0.2033424,
0.237356,
0.2753837,
0.321473,
0.3785562,
0.4478053,
0.5319116,
0.6331252,
0.747726,
0.8938665,
1.0625874,
1.2702833,
1.5192737
],
[
0.0485167,
0.2040466,
0.2386281,
0.2768508,
0.324591,
0.3827847,
0.4502977,
0.5306672,
0.6305574,
0.7480616,
0.8960658,
1.0652791,
1.2665479,
1.5204726
]
]
},
"timing_sense": "positive_unate",
"timing_type": "clear"
},
{
"cell_fall,delay_template13x14": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00946,
0.01135,
0.01362,
0.01634,
0.01961,
0.02353,
0.02823,
0.03388,
0.04066,
0.04879,
0.05855,
0.07026,
0.08431
],
"values": [
[
0.4198847,
0.4819998,
0.4910098,
0.5006431,
0.5126477,
0.5264277,
0.5425208,
0.5613067,
0.5835427,
0.6098386,
0.6410439,
0.6783892,
0.7231524,
0.77688
],
[
0.423387,
0.4853699,
0.4943028,
0.5041383,
0.5161627,
0.529943,
0.5460325,
0.5648222,
0.5870565,
0.6133477,
0.6445448,
0.6818852,
0.726651,
0.7803351
],
[
0.4278938,
0.4899474,
0.4989815,
0.5087352,
0.5206234,
0.5344061,
0.5505023,
0.5692861,
0.5915232,
0.6178207,
0.649036,
0.6863617,
0.7311204,
0.7848175
],
[
0.4347849,
0.4967734,
0.5052944,
0.5155887,
0.5279596,
0.5418139,
0.557852,
0.5766905,
0.5988647,
0.6251341,
0.6564646,
0.6938571,
0.7384606,
0.7920238
],
[
0.4453478,
0.5066879,
0.5156703,
0.5259067,
0.5378851,
0.5517077,
0.5677734,
0.5866003,
0.6088264,
0.6350674,
0.666313,
0.7037353,
0.7484738,
0.8020896
],
[
0.4614249,
0.5233108,
0.5322531,
0.5425661,
0.5544075,
0.5682628,
0.5843303,
0.6031342,
0.625322,
0.6516642,
0.6829419,
0.7202377,
0.7648649,
0.8185736
],
[
0.4860988,
0.5480804,
0.5566043,
0.5668986,
0.5792662,
0.5931203,
0.6091582,
0.6279966,
0.6501715,
0.6764403,
0.7076865,
0.7451169,
0.7903165,
0.8436936
],
[
0.5238858,
0.5859435,
0.5942533,
0.6045833,
0.6164754,
0.6302683,
0.6463292,
0.6651633,
0.687324,
0.7136698,
0.7449586,
0.7823392,
0.826946,
0.8803681
],
[
0.5811657,
0.6431842,
0.6521194,
0.6619447,
0.673941,
0.6877214,
0.7038098,
0.7226008,
0.7448349,
0.7711246,
0.8023137,
0.8396946,
0.8844643,
0.9381262
],
[
0.6672703,
0.7286096,
0.7375967,
0.7478422,
0.7598432,
0.7736437,
0.789722,
0.8085377,
0.8307757,
0.8570423,
0.8882359,
0.9256624,
0.9704108,
1.0240758
],
[
0.7906533,
0.8527817,
0.8617321,
0.8720121,
0.8838903,
0.8977745,
0.9138188,
0.9326524,
0.954798,
0.9811424,
1.0124467,
1.0497886,
1.0943064,
1.1480149
],
[
0.9598072,
1.0213913,
1.0304418,
1.0407142,
1.0526086,
1.0663889,
1.08249,
1.1012616,
1.1234963,
1.1498034,
1.1810435,
1.2183011,
1.2630554,
1.3167729
],
[
1.1883022,
1.2501711,
1.2590775,
1.2693622,
1.2812208,
1.2950576,
1.31111,
1.3299787,
1.3521225,
1.3784872,
1.4097846,
1.4471443,
1.491699,
1.5453497
]
]
},
"cell_rise,delay_template13x14": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00946,
0.01135,
0.01362,
0.01634,
0.01961,
0.02353,
0.02823,
0.03388,
0.04066,
0.04879,
0.05855,
0.07026,
0.08431
],
"values": [
[
0.5711234,
0.7025103,
0.7276337,
0.7574676,
0.7931695,
0.8358019,
0.8865548,
0.9479911,
1.0216119,
1.109924,
1.2154184,
1.3417406,
1.4949852,
1.6781121
],
[
0.5749651,
0.7061323,
0.7312582,
0.7608622,
0.7968193,
0.8393086,
0.8901924,
0.9516664,
1.0252608,
1.1134884,
1.2188253,
1.3456326,
1.4987652,
1.681482
],
[
0.5793316,
0.710622,
0.7354287,
0.7653142,
0.8010478,
0.8434112,
0.8947819,
0.956068,
1.0293183,
1.1179377,
1.2236914,
1.3509313,
1.5027096,
1.6855363
],
[
0.5856524,
0.7169402,
0.7417509,
0.7716308,
0.807365,
0.8497265,
0.9010985,
0.9623848,
1.0358163,
1.1235917,
1.2295266,
1.3575809,
1.5088444,
1.6919037
],
[
0.5957758,
0.7267728,
0.7514431,
0.7816051,
0.8168395,
0.8597903,
0.9109817,
0.9720467,
1.0452189,
1.133633,
1.2396331,
1.3668686,
1.5181705,
1.701094
],
[
0.6099379,
0.7415876,
0.766141,
0.7963085,
0.8317175,
0.8744315,
0.9256639,
0.9868377,
1.0601062,
1.1480847,
1.2541461,
1.3812832,
1.5337293,
1.7148259
],
[
0.631849,
0.7632044,
0.787876,
0.8179438,
0.8535304,
0.8960654,
0.9473838,
1.0086045,
1.0819766,
1.169713,
1.2757994,
1.4031942,
1.5553896,
1.7364848
],
[
0.6646408,
0.7964067,
0.8210214,
0.8511548,
0.8863628,
0.9293504,
0.980497,
1.0415432,
1.1147306,
1.2031709,
1.3091533,
1.4362286,
1.5879209,
1.7702825
],
[
0.7075526,
0.838929,
0.8635438,
0.8934835,
0.9290085,
0.9721745,
1.0230986,
1.0840007,
1.1577785,
1.246076,
1.3519635,
1.4784352,
1.630553,
1.8145255
],
[
0.7645723,
0.8959089,
0.9205847,
0.9506556,
0.9862178,
1.0287934,
1.0800983,
1.1412957,
1.21465,
1.3024667,
1.4085403,
1.5359494,
1.6879924,
1.8693231
],
[
0.8409444,
0.9721821,
0.9970804,
1.0268365,
1.0626714,
1.1049008,
1.1563117,
1.2176511,
1.2911331,
1.3791076,
1.4845187,
1.6119731,
1.7645854,
1.9462945
],
[
0.9404933,
1.0719383,
1.0970705,
1.1268025,
1.1624864,
1.2052028,
1.2560359,
1.3172811,
1.3909691,
1.4793213,
1.5849583,
1.711279,
1.8639335,
2.0472655
],
[
1.0742189,
1.2056149,
1.2303265,
1.2600094,
1.2955865,
1.3383384,
1.3896988,
1.4511559,
1.5243041,
1.6122296,
1.7182481,
1.8455194,
1.9978972,
2.1794559
]
]
},
"fall_transition,delay_template13x14": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00946,
0.01135,
0.01362,
0.01634,
0.01961,
0.02353,
0.02823,
0.03388,
0.04066,
0.04879,
0.05855,
0.07026,
0.08431
],
"values": [
[
0.0269377,
0.0759006,
0.0849844,
0.0956048,
0.1075469,
0.1229537,
0.1406634,
0.1622612,
0.1890035,
0.2213359,
0.2606569,
0.3074753,
0.3652314,
0.4351963
],
[
0.0269904,
0.0763537,
0.084712,
0.0956382,
0.10761,
0.122937,
0.1406467,
0.1621987,
0.1890973,
0.2218116,
0.2601461,
0.308147,
0.3645112,
0.4350801
],
[
0.0268561,
0.0758827,
0.0849716,
0.0957717,
0.1074919,
0.1229617,
0.1406589,
0.1623638,
0.1889266,
0.2216815,
0.2601184,
0.3081806,
0.3647622,
0.435161
],
[
0.0268012,
0.0764824,
0.0847811,
0.0955932,
0.1079749,
0.1226322,
0.1401703,
0.1626295,
0.1890853,
0.2203566,
0.2605397,
0.3068528,
0.365211,
0.4340497
],
[
0.0267669,
0.0762164,
0.0855054,
0.0954636,
0.1079117,
0.1227645,
0.140536,
0.1619968,
0.1892751,
0.221372,
0.2606059,
0.3076137,
0.3656083,
0.4344853
],
[
0.0270201,
0.0762961,
0.0852202,
0.0952943,
0.1076136,
0.1222133,
0.140829,
0.1624249,
0.1887413,
0.2211521,
0.2603572,
0.3066971,
0.3648549,
0.4335904
],
[
0.0268028,
0.0764829,
0.0847585,
0.0955926,
0.107975,
0.1226321,
0.1401659,
0.1624773,
0.18863,
0.221307,
0.2606648,
0.3096613,
0.3641558,
0.4343018
],
[
0.0267939,
0.0760531,
0.0853326,
0.0958136,
0.1078308,
0.1226231,
0.1407762,
0.1624132,
0.1890518,
0.2210942,
0.259975,
0.3092562,
0.3659892,
0.434899
],
[
0.027077,
0.0765017,
0.0845997,
0.0955903,
0.107629,
0.1229303,
0.1406408,
0.1621791,
0.189123,
0.2218188,
0.2607634,
0.3080539,
0.3650456,
0.4344113
],
[
0.0267651,
0.0762555,
0.0855059,
0.0954985,
0.1078056,
0.1227998,
0.1405591,
0.1618972,
0.1891938,
0.2216273,
0.2604175,
0.3079396,
0.3649907,
0.4343249
],
[
0.0270104,
0.0763484,
0.0852787,
0.0950961,
0.1078482,
0.1224726,
0.140718,
0.1622338,
0.1890015,
0.2206793,
0.2612723,
0.3088884,
0.3656738,
0.4340105
],
[
0.0268869,
0.0761571,
0.0851983,
0.0958286,
0.1076353,
0.1229218,
0.140667,
0.1624295,
0.188608,
0.2214088,
0.2615371,
0.3082178,
0.3652196,
0.4318601
],
[
0.0269105,
0.0758761,
0.0847091,
0.0951,
0.1077384,
0.1225318,
0.141127,
0.1623947,
0.1891501,
0.2208609,
0.2612183,
0.3091617,
0.36574,
0.4355199
]
]
},
"related_pin": "D",
"rise_transition,delay_template13x14": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00946,
0.01135,
0.01362,
0.01634,
0.01961,
0.02353,
0.02823,
0.03388,
0.04066,
0.04879,
0.05855,
0.07026,
0.08431
],
"values": [
[
0.0385282,
0.2013222,
0.2346942,
0.2744563,
0.3207826,
0.3791353,
0.4480324,
0.5309153,
0.6294641,
0.7509074,
0.8930844,
1.0612531,
1.270489,
1.5160307
],
[
0.0387307,
0.2021072,
0.2346048,
0.2741126,
0.3213874,
0.3793414,
0.447965,
0.5308853,
0.6294377,
0.7509079,
0.8930113,
1.0599206,
1.2728439,
1.51792
],
[
0.0387782,
0.2016168,
0.2345016,
0.2738363,
0.3218791,
0.3778755,
0.4476494,
0.5313523,
0.629413,
0.7489051,
0.8927196,
1.0666572,
1.2672653,
1.5178638
],
[
0.0387784,
0.2016335,
0.234512,
0.2738314,
0.3218802,
0.3791732,
0.446851,
0.5310664,
0.6306283,
0.7478415,
0.8954532,
1.0667272,
1.2674626,
1.520019
],
[
0.0386562,
0.2017852,
0.2340738,
0.2743188,
0.3218041,
0.379027,
0.4469702,
0.5314094,
0.6320932,
0.7500278,
0.8922892,
1.0630409,
1.2711928,
1.5132275
],
[
0.0385183,
0.2016929,
0.2338202,
0.2741447,
0.3212677,
0.3793064,
0.4474884,
0.5304892,
0.6325608,
0.7491283,
0.8899935,
1.0664079,
1.2721211,
1.5152324
],
[
0.0386488,
0.2016657,
0.2340617,
0.2742054,
0.3215577,
0.3793772,
0.4477403,
0.5302137,
0.6330367,
0.7493001,
0.8899553,
1.0641272,
1.2718647,
1.5173466
],
[
0.0387007,
0.2018404,
0.2338552,
0.274254,
0.3217346,
0.3789744,
0.4468499,
0.5313263,
0.6302466,
0.7498459,
0.8919026,
1.0636803,
1.2686835,
1.5159245
],
[
0.0387946,
0.2006431,
0.2332265,
0.275314,
0.3218047,
0.3787214,
0.4483769,
0.5309932,
0.6304543,
0.7492869,
0.8942765,
1.0642198,
1.2690226,
1.5202388
],
[
0.0387541,
0.2016195,
0.2340693,
0.2742542,
0.3214408,
0.3793565,
0.4476108,
0.5304465,
0.6329246,
0.7495559,
0.8902725,
1.0648541,
1.2717623,
1.517595
],
[
0.0387603,
0.2019768,
0.2347076,
0.2734158,
0.3219544,
0.378839,
0.448137,
0.529829,
0.6320531,
0.7502458,
0.8932668,
1.0633889,
1.2699396,
1.5188075
],
[
0.0387348,
0.2010462,
0.2346562,
0.2743281,
0.3209784,
0.3788716,
0.4483804,
0.5306798,
0.6301867,
0.7499389,
0.8941788,
1.0657868,
1.2693382,
1.5196097
],
[
0.0386788,
0.2016074,
0.2335898,
0.2730824,
0.3232359,
0.3795449,
0.447484,
0.5307923,
0.6332341,
0.7495844,
0.8922014,
1.067383,
1.2657579,
1.5175616
]
]
},
"timing_sense": "positive_unate"
},
{
"cell_fall,delay_template13x14": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00946,
0.01135,
0.01362,
0.01634,
0.01961,
0.02353,
0.02823,
0.03388,
0.04066,
0.04879,
0.05855,
0.07026,
0.08431
],
"values": [
[
0.4707594,
0.5333636,
0.5423041,
0.5528035,
0.5647079,
0.5784884,
0.5946267,
0.6134072,
0.6355823,
0.6619118,
0.6933911,
0.7304723,
0.7753178,
0.8289707
],
[
0.47374,
0.5364944,
0.545441,
0.5558078,
0.5678305,
0.581613,
0.597753,
0.6165269,
0.6387773,
0.6650978,
0.6962753,
0.7336148,
0.7784784,
0.8320758
],
[
0.4779722,
0.5405711,
0.5495928,
0.5598935,
0.5718514,
0.5857433,
0.601783,
0.6206412,
0.6427914,
0.6691191,
0.7004462,
0.7377853,
0.7822962,
0.8360759
],
[
0.484148,
0.5466954,
0.5556551,
0.5659954,
0.5780575,
0.5918406,
0.607969,
0.6267789,
0.6490242,
0.6753315,
0.7064829,
0.743983,
0.7887104,
0.8420189
],
[
0.4943235,
0.5568411,
0.5657917,
0.5762918,
0.5881909,
0.6019915,
0.6181309,
0.6369035,
0.6591582,
0.6853931,
0.7167526,
0.7542261,
0.7992252,
0.8523386
],
[
0.5107779,
0.5733518,
0.5823672,
0.5926659,
0.6045907,
0.6185055,
0.6345491,
0.6534038,
0.6755427,
0.7019034,
0.7332102,
0.7705094,
0.815117,
0.8688695
],
[
0.5353579,
0.5979283,
0.6069366,
0.6172484,
0.6292593,
0.6430659,
0.6591406,
0.6779811,
0.7001996,
0.7264237,
0.7577685,
0.7950839,
0.8399862,
0.893245
],
[
0.572806,
0.6353785,
0.6443955,
0.6546954,
0.6666332,
0.6805432,
0.6965821,
0.7154403,
0.737572,
0.7639317,
0.7952469,
0.8325638,
0.8771308,
0.9309021
],
[
0.6299072,
0.6924906,
0.7014961,
0.7117992,
0.7237091,
0.7376256,
0.7536705,
0.7725218,
0.7946703,
0.8210325,
0.8523305,
0.8896002,
0.9342768,
0.9879944
],
[
0.7158636,
0.7784701,
0.7876702,
0.7979798,
0.8098648,
0.8236649,
0.8398042,
0.8585763,
0.8808304,
0.9071411,
0.9382957,
0.9757308,
1.0205367,
1.0740953
],
[
0.845094,
0.9075761,
0.9167428,
0.9270577,
0.9389329,
0.9527625,
0.968881,
0.987655,
1.0098995,
1.0362333,
1.0674384,
1.1047692,
1.1495972,
1.2032189
],
[
1.0302491,
1.0929394,
1.1019202,
1.1122673,
1.1241459,
1.1379808,
1.1540993,
1.1728748,
1.1951243,
1.2214522,
1.2526297,
1.2900206,
1.3348371,
1.3884084
],
[
1.2837359,
1.3464473,
1.3554036,
1.3657401,
1.3776265,
1.3915353,
1.4076056,
1.4264336,
1.4486193,
1.4749766,
1.5062399,
1.5434359,
1.5882868,
1.6419802
]
]
},
"cell_rise,delay_template13x14": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00946,
0.01135,
0.01362,
0.01634,
0.01961,
0.02353,
0.02823,
0.03388,
0.04066,
0.04879,
0.05855,
0.07026,
0.08431
],
"values": [
[
0.6897255,
0.8211212,
0.8461127,
0.8760269,
0.9115597,
0.953988,
1.0053318,
1.0665423,
1.1400326,
1.227874,
1.3338917,
1.4609882,
1.6125734,
1.7970339
],
[
0.6924125,
0.8239848,
0.8490008,
0.8787419,
0.9144265,
0.9567371,
1.0081417,
1.0694147,
1.1429518,
1.230916,
1.3362199,
1.4637342,
1.6164805,
1.7974642
],
[
0.6965914,
0.8284359,
0.8534043,
0.8831912,
0.9189114,
0.9615405,
1.0123637,
1.0736748,
1.1473034,
1.235658,
1.3413336,
1.4676373,
1.6202565,
1.8041311
],
[
0.7031237,
0.8350046,
0.8596838,
0.8898762,
0.9250345,
0.9680323,
1.0191649,
1.080163,
1.153424,
1.2418888,
1.3478485,
1.4749942,
1.6263679,
1.8096935
],
[
0.713396,
0.8453023,
0.8699685,
0.9001719,
0.9353192,
0.978323,
1.0294592,
1.0904592,
1.1637137,
1.2521795,
1.357823,
1.4852961,
1.6379125,
1.8192796
],
[
0.7292494,
0.860873,
0.8859133,
0.9158462,
0.9513798,
0.9941249,
1.0450625,
1.1061064,
1.1797631,
1.2681779,
1.3739568,
1.5005974,
1.6524832,
1.8362413
],
[
0.7538385,
0.8854759,
0.9103438,
0.9403597,
0.9759085,
1.0186785,
1.06982,
1.130951,
1.2041373,
1.2923014,
1.39838,
1.5256016,
1.6776766,
1.8598738
],
[
0.7913976,
0.9229142,
0.9481061,
0.9783211,
1.013406,
1.0563924,
1.107596,
1.1686362,
1.2419126,
1.3301837,
1.4361555,
1.5634468,
1.7151525,
1.897686
],
[
0.8481637,
0.9801494,
1.0047763,
1.0350598,
1.0701403,
1.1131457,
1.1643271,
1.2253487,
1.2985902,
1.3869574,
1.4929243,
1.6201747,
1.7717695,
1.9545552
],
[
0.9335093,
1.0652245,
1.0904063,
1.1198358,
1.1556154,
1.1981653,
1.2497539,
1.3109162,
1.3841899,
1.4720452,
1.5779718,
1.7053995,
1.8578119,
2.038913
],
[
1.0622448,
1.1937422,
1.2188696,
1.2486604,
1.284383,
1.3270263,
1.3778668,
1.4391579,
1.5127912,
1.6011545,
1.7068383,
1.833158,
1.9857533,
2.169628
],
[
1.2455257,
1.3772156,
1.4022599,
1.4318853,
1.4677193,
1.510076,
1.5612929,
1.6226526,
1.6962139,
1.7843126,
1.8895434,
2.0167286,
2.1696782,
2.3522579
],
[
1.4885934,
1.6201763,
1.6453024,
1.6748429,
1.7107488,
1.7532003,
1.8042828,
1.8654329,
1.9392732,
2.027956,
2.1326387,
2.259936,
2.412762,
2.5949507
]
]
},
"fall_transition,delay_template13x14": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00946,
0.01135,
0.01362,
0.01634,
0.01961,
0.02353,
0.02823,
0.03388,
0.04066,
0.04879,
0.05855,
0.07026,
0.08431
],
"values": [
[
0.0273897,
0.0769896,
0.0852306,
0.0959585,
0.1079445,
0.1226702,
0.1411724,
0.1625828,
0.189525,
0.2224235,
0.2610569,
0.3082302,
0.3665102,
0.4332469
],
[
0.0277948,
0.0770737,
0.0852542,
0.0960346,
0.1079607,
0.1231237,
0.1411155,
0.1622492,
0.1897081,
0.2208219,
0.2611275,
0.3082259,
0.3659336,
0.4334676
],
[
0.0273182,
0.0759202,
0.0856158,
0.0957608,
0.1084428,
0.1230701,
0.1414141,
0.163119,
0.189412,
0.2209734,
0.2616116,
0.3077463,
0.3653674,
0.4332801
],
[
0.0275844,
0.0768397,
0.0851931,
0.0958034,
0.1084562,
0.1230578,
0.1409047,
0.1627305,
0.189804,
0.221328,
0.2609962,
0.3085629,
0.3652652,
0.4347244
],
[
0.0276398,
0.0768204,
0.0853386,
0.0960689,
0.1077962,
0.1235304,
0.1401994,
0.1623578,
0.1890921,
0.2215086,
0.2615032,
0.3080182,
0.364193,
0.4357069
],
[
0.0274737,
0.0767621,
0.0857026,
0.0955382,
0.1083307,
0.1226882,
0.1415091,
0.1631986,
0.1891803,
0.2215215,
0.2604416,
0.3072332,
0.365201,
0.4355092
],
[
0.0273988,
0.0758551,
0.0854354,
0.0958749,
0.1084415,
0.1232531,
0.1407478,
0.1630151,
0.1890679,
0.2226148,
0.2598336,
0.3086595,
0.3664359,
0.4356442
],
[
0.0273815,
0.0763404,
0.0856558,
0.095636,
0.1083859,
0.1228031,
0.1414549,
0.1630885,
0.1892301,
0.2213007,
0.2610186,
0.3082106,
0.3647132,
0.4352775
],
[
0.0274917,
0.0766842,
0.0857304,
0.0954362,
0.1083632,
0.1224833,
0.1414954,
0.1632544,
0.1891449,
0.2217193,
0.2602462,
0.3072055,
0.3650429,
0.4352263
],
[
0.027549,
0.0766238,
0.0854568,
0.0960473,
0.1077957,
0.1235308,
0.141119,
0.1624758,
0.1897148,
0.2220586,
0.261044,
0.3082148,
0.3646772,
0.4357143
],
[
0.0275296,
0.0766811,
0.0854878,
0.0960349,
0.1081749,
0.1233536,
0.1409793,
0.1628734,
0.1893494,
0.2220385,
0.2601509,
0.3074574,
0.3655189,
0.4350461
],
[
0.02761,
0.0763254,
0.0855585,
0.0959659,
0.1082223,
0.1233376,
0.1409715,
0.1628259,
0.1894625,
0.2218795,
0.2611283,
0.3079071,
0.3664208,
0.4357316
],
[
0.0276406,
0.0765605,
0.0856249,
0.0957713,
0.1084468,
0.1228456,
0.141168,
0.1632087,
0.1888239,
0.2221526,
0.2605483,
0.3074508,
0.3646616,
0.4346091
]
]
},
"related_pin": "GATE_N",
"rise_transition,delay_template13x14": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00946,
0.01135,
0.01362,
0.01634,
0.01961,
0.02353,
0.02823,
0.03388,
0.04066,
0.04879,
0.05855,
0.07026,
0.08431
],
"values": [
[
0.0387617,
0.2019351,
0.2346508,
0.2735978,
0.32178,
0.3789279,
0.4479328,
0.52953,
0.6307911,
0.7498585,
0.8929922,
1.0642716,
1.2665506,
1.5197271
],
[
0.0388148,
0.201987,
0.2346879,
0.273346,
0.3217491,
0.3785808,
0.4479926,
0.5300626,
0.6306646,
0.7501007,
0.8912879,
1.0609278,
1.2661968,
1.5183586
],
[
0.0387987,
0.2018022,
0.234733,
0.2743709,
0.3209464,
0.3786764,
0.4483852,
0.530409,
0.6300511,
0.7497125,
0.8939217,
1.0637449,
1.2692043,
1.513294
],
[
0.0388295,
0.2018229,
0.2340867,
0.2742105,
0.3217389,
0.3788367,
0.4470405,
0.5313635,
0.6298038,
0.7497427,
0.8900796,
1.0657727,
1.2697835,
1.5177475
],
[
0.0387997,
0.2018334,
0.2340423,
0.274226,
0.3217243,
0.3788535,
0.4470093,
0.5313558,
0.6298179,
0.7497446,
0.8893837,
1.0640537,
1.267198,
1.5160183
],
[
0.0385675,
0.2011954,
0.2348043,
0.2742287,
0.3215055,
0.3780048,
0.4484057,
0.5308019,
0.6300909,
0.7490307,
0.8939418,
1.0648518,
1.2677145,
1.5192054
],
[
0.0387076,
0.2021528,
0.2338428,
0.2743472,
0.3215932,
0.3791424,
0.4471391,
0.5309887,
0.6305004,
0.7494705,
0.8891006,
1.065972,
1.2704093,
1.5162651
],
[
0.0386922,
0.2011237,
0.2346983,
0.2743526,
0.3215804,
0.3790272,
0.4467625,
0.531017,
0.6302662,
0.7497024,
0.889445,
1.0659899,
1.2702512,
1.5168939
],
[
0.0386821,
0.201897,
0.2339207,
0.2743243,
0.3216644,
0.3789702,
0.44669,
0.5311642,
0.6301275,
0.7497488,
0.8896861,
1.0659409,
1.2701231,
1.5172054
],
[
0.0389499,
0.2010283,
0.2335784,
0.2733905,
0.322335,
0.3794269,
0.4476006,
0.5296723,
0.6302496,
0.7491711,
0.8908669,
1.0638346,
1.2707542,
1.5141735
],
[
0.0387056,
0.20141,
0.2347093,
0.2743732,
0.3210015,
0.3786037,
0.44843,
0.530395,
0.6300698,
0.7496624,
0.8938263,
1.0637518,
1.2691442,
1.5133278
],
[
0.0385662,
0.2021079,
0.2347126,
0.2734102,
0.3215846,
0.3790956,
0.447919,
0.5304918,
0.6303419,
0.7503537,
0.8898725,
1.0627932,
1.2721146,
1.5174642
],
[
0.0388621,
0.2020006,
0.2346421,
0.2732728,
0.3217252,
0.3781251,
0.4466174,
0.5308033,
0.6296901,
0.7499282,
0.8924917,
1.061469,
1.2718957,
1.5153717
]
]
},
"timing_sense": "non_unate",
"timing_type": "falling_edge"
}
]
},
"pin,RESET_B": {
"capacitance": 0.002313,
"ccsn_first_stage": {
"dc_current,ccsn_dc": {
"index_1": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"index_2": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"values": [
[
1.78381,
0.324789,
0.304457,
0.295546,
0.284565,
0.278043,
0.270741,
0.262629,
0.253693,
0.243926,
0.233329,
0.221901,
0.209646,
0.196567,
0.182668,
0.167954,
0.152432,
0.136106,
0.118985,
0.101076,
0.0823864,
0.062925,
0.0427005,
0.0217222,
-1.86889e-09,
-0.0435374,
-0.0861915,
-0.226676,
-1.44814
],
[
1.71874,
0.203913,
0.193272,
0.189338,
0.18504,
0.182709,
0.180219,
0.17753,
0.174585,
0.1713,
0.16755,
0.163148,
0.157864,
0.151502,
0.143954,
0.135175,
0.125147,
0.113865,
0.101326,
0.0875348,
0.0724945,
0.056212,
0.0386953,
0.0199543,
-1.87156e-09,
-0.0413861,
-0.0834142,
-0.226951,
-1.45002
],
[
1.67223,
0.115796,
0.108623,
0.106349,
0.103966,
0.102724,
0.10144,
0.100106,
0.0987134,
0.0972482,
0.0956933,
0.0940242,
0.0922049,
0.0901789,
0.0878488,
0.0850297,
0.0813913,
0.0765516,
0.0702787,
0.0624833,
0.0531327,
0.042213,
0.0297183,
0.0156468,
-1.87879e-09,
-0.0345311,
-0.072024,
-0.209911,
-1.44799
],
[
1.6579,
0.0917733,
0.0809419,
0.0791252,
0.0772449,
0.076275,
0.075281,
0.0742589,
0.0732033,
0.0721079,
0.070964,
0.0697599,
0.0684798,
0.0671001,
0.0655846,
0.0638703,
0.0618306,
0.0591855,
0.0554754,
0.0503276,
0.0435871,
0.0352011,
0.025148,
0.0134163,
-1.88255e-09,
-0.0308316,
-0.0657118,
-0.199573,
-1.44671
],
[
1.64535,
0.0829072,
0.0552835,
0.0538872,
0.0524596,
0.051731,
0.0509906,
0.0502361,
0.0494653,
0.048675,
0.0478613,
0.0470192,
0.0461419,
0.0452202,
0.0442406,
0.0431828,
0.0420118,
0.0406565,
0.0389337,
0.0363993,
0.032509,
0.0269875,
0.0197484,
0.0107586,
-1.88714e-09,
-0.0263367,
-0.0579514,
-0.186386,
-1.44507
],
[
1.63993,
0.0840732,
0.0436255,
0.0424268,
0.0412091,
0.0405911,
0.0399656,
0.0393312,
0.0386865,
0.0380293,
0.0373572,
0.036667,
0.0359546,
0.0352144,
0.0344385,
0.0336154,
0.0327269,
0.0317399,
0.0305776,
0.029009,
0.0264866,
0.0224733,
0.0167578,
0.00927614,
-1.88981e-09,
-0.0237911,
-0.053517,
-0.178643,
-1.4441
],
[
1.63524,
0.0880405,
0.0329849,
0.0319756,
0.0309579,
0.0304443,
0.0299267,
0.0294044,
0.0288762,
0.0283411,
0.0277975,
0.0272435,
0.0266766,
0.0260938,
0.0254906,
0.0248608,
0.0241951,
0.023478,
0.0226789,
0.0217137,
0.020285,
0.0177429,
0.0135989,
0.00770084,
-1.89328e-09,
-0.0210547,
-0.0487192,
-0.170102,
-1.44303
],
[
1.63138,
0.0944271,
0.0235508,
0.0227156,
0.0218886,
0.0214739,
0.021058,
0.0206402,
0.0202202,
0.0197973,
0.0193706,
0.0189391,
0.0185014,
0.018056,
0.0176006,
0.0171319,
0.0166456,
0.0161347,
0.015587,
0.0149751,
0.014206,
0.0128945,
0.0103095,
0.00604616,
-1.91014e-09,
-0.01814,
-0.0435723,
-0.16075,
-1.44185
],
[
1.6285,
0.103052,
0.0155706,
0.0148293,
0.0141851,
0.0138644,
0.0135445,
0.013225,
0.0129058,
0.0125865,
0.0122667,
0.011946,
0.0116238,
0.0112992,
0.0109712,
0.0106386,
0.0102993,
0.00995047,
0.0095876,
0.00920201,
0.00877097,
0.00819082,
0.00696842,
0.00433786,
-2.15779e-09,
-0.0150663,
-0.038101,
-0.15059,
-1.44057
],
[
1.62671,
0.113835,
0.00955176,
0.00850439,
0.00803555,
0.00780521,
0.00757694,
0.00735069,
0.00712635,
0.00690383,
0.00668299,
0.00646365,
0.0062456,
0.00602857,
0.00581218,
0.00559597,
0.00537925,
0.00516108,
0.00494002,
0.00471361,
0.0044767,
0.00421219,
0.00380484,
0.00264048,
-6.37943e-09,
-0.0118642,
-0.0323446,
-0.139653,
-1.43919
],
[
1.62613,
0.126751,
0.00674759,
0.00395556,
0.00364119,
0.00349865,
0.00335897,
0.0032221,
0.00308803,
0.00295672,
0.00282815,
0.00270225,
0.00257898,
0.00245826,
0.00233998,
0.00222402,
0.00211021,
0.0019983,
0.00188794,
0.00177858,
0.00166923,
0.00155745,
0.00143163,
0.00115238,
-7.62699e-08,
-0.00858684,
-0.0263691,
-0.128028,
-1.43772
],
[
1.62683,
0.141801,
0.00906875,
0.00144703,
0.00114837,
0.00108493,
0.00102422,
0.000965946,
0.000910037,
0.000856441,
0.000805101,
0.000755955,
0.00070894,
0.000663986,
0.00062102,
0.00057999,
0.000540822,
0.000503379,
0.000467538,
0.000433152,
0.000400013,
0.000367749,
0.000335236,
0.000291344,
-1.16947e-06,
-0.00535968,
-0.0203053,
-0.115898,
-1.43619
],
[
1.62878,
0.158747,
0.0166883,
0.00117706,
0.00024424,
0.00022282,
0.00020629,
0.000190775,
0.000176133,
0.000162304,
0.000149238,
0.000136889,
0.000125219,
0.000114218,
0.000104104,
9.51589e-05,
8.68637e-05,
7.90722e-05,
7.17367e-05,
6.48117e-05,
5.82456e-05,
5.19668e-05,
4.5816e-05,
3.86766e-05,
-1.56501e-05,
-0.00256465,
-0.0144463,
-0.103545,
-1.43464
],
[
1.63157,
0.176734,
0.0276882,
0.00365068,
4.61875e-05,
-8.57489e-06,
-2.33458e-05,
-3.67828e-05,
-4.98875e-05,
-6.28197e-05,
-7.56248e-05,
-8.82785e-05,
-0.00010046,
-0.000110226,
-0.000114509,
-0.000117129,
-0.000119455,
-0.000121592,
-0.000123574,
-0.000125424,
-0.000127162,
-0.000128809,
-0.000130397,
-0.00013211,
-0.000142801,
-0.000941945,
-0.00933354,
-0.0913602,
-1.43318
],
[
1.63468,
0.194944,
0.0403078,
0.00957522,
8.95762e-06,
-0.000328949,
-0.000400838,
-0.000462796,
-0.00052302,
-0.000582617,
-0.000641597,
-0.000698542,
-0.000745935,
-0.000766396,
-0.000772532,
-0.000777167,
-0.000781312,
-0.000785129,
-0.000788683,
-0.000792012,
-0.000795144,
-0.000798103,
-0.000800912,
-0.000803617,
-0.000807864,
-0.000977583,
-0.00579463,
-0.0800253,
-1.43209
],
[
1.63787,
0.213043,
0.0534218,
0.0177309,
1.59037e-06,
-0.00168717,
-0.00202571,
-0.00226114,
-0.00247853,
-0.00268715,
-0.00288557,
-0.00305971,
-0.00316893,
-0.00320158,
-0.00321665,
-0.00322909,
-0.00324047,
-0.00325117,
-0.00326134,
-0.00327107,
-0.0032804,
-0.00328937,
-0.003298,
-0.00330633,
-0.0033146,
-0.00336053,
-0.00541315,
-0.0710362,
-1.43217
],
[
1.64105,
0.230871,
0.0660546,
0.0258926,
2.0026e-07,
-0.00547089,
-0.00720526,
-0.00792156,
-0.00847033,
-0.00895286,
-0.00937446,
-0.00969783,
-0.00986534,
-0.00992255,
-0.00995538,
-0.00998246,
-0.010007,
-0.0100302,
-0.0100522,
-0.0100734,
-0.0100939,
-0.0101137,
-0.010133,
-0.0101517,
-0.0101699,
-0.0102108,
-0.0108076,
-0.0670098,
-1.43486
],
[
1.64415,
0.248283,
0.0774068,
0.0329568,
1.72121e-08,
-0.0103172,
-0.0161357,
-0.0185507,
-0.0197877,
-0.0206737,
-0.0213541,
-0.0218203,
-0.0220514,
-0.0221489,
-0.0222104,
-0.0222606,
-0.0223055,
-0.0223474,
-0.0223871,
-0.0224252,
-0.022462,
-0.0224977,
-0.0225324,
-0.0225662,
-0.0225992,
-0.022664,
-0.0228329,
-0.0693093,
-1.44089
],
[
1.64713,
0.265108,
0.0871903,
0.0388276,
3.89174e-09,
-0.0144236,
-0.0248648,
-0.0313034,
-0.0345633,
-0.0363172,
-0.0374203,
-0.0380913,
-0.0384191,
-0.038579,
-0.0386862,
-0.0387735,
-0.0388507,
-0.0389219,
-0.038989,
-0.0390529,
-0.0391145,
-0.0391739,
-0.0392316,
-0.0392878,
-0.0393426,
-0.0394488,
-0.0395706,
-0.0768882,
-1.44955
],
[
1.64999,
0.281125,
0.0955142,
0.0436747,
3.20284e-09,
-0.0176038,
-0.0316301,
-0.0420774,
-0.0490348,
-0.0530555,
-0.0552419,
-0.0563908,
-0.0569316,
-0.057219,
-0.0574185,
-0.0575803,
-0.0577217,
-0.0578505,
-0.0579704,
-0.0580836,
-0.0581915,
-0.058295,
-0.0583949,
-0.0584915,
-0.0585853,
-0.0587655,
-0.0589416,
-0.0881649,
-1.45987
],
[
1.65275,
0.296062,
0.102593,
0.0476903,
3.15906e-09,
-0.0200724,
-0.0367838,
-0.0501589,
-0.0602696,
-0.0672865,
-0.0716038,
-0.0738735,
-0.074953,
-0.0755635,
-0.075997,
-0.0763474,
-0.0766505,
-0.0769228,
-0.0771731,
-0.0774066,
-0.0776266,
-0.0778354,
-0.0780347,
-0.0782256,
-0.0784092,
-0.0787575,
-0.0790859,
-0.101576,
-1.47097
],
[
1.6554,
0.309635,
0.10863,
0.051039,
3.15245e-09,
-0.0220256,
-0.0407934,
-0.0563108,
-0.068619,
-0.0778011,
-0.0839854,
-0.0874919,
-0.0893106,
-0.09043,
-0.0912614,
-0.0919491,
-0.0925519,
-0.0930983,
-0.0936037,
-0.0940775,
-0.0945257,
-0.0949523,
-0.0953603,
-0.0957519,
-0.0961287,
-0.0968434,
-0.0975143,
-0.114999,
-1.48176
],
[
1.65795,
0.321642,
0.113799,
0.0538526,
3.15787e-09,
-0.0236011,
-0.0439849,
-0.0611275,
-0.0750228,
-0.0856703,
-0.093034,
-0.0973059,
-0.0996373,
-0.101151,
-0.102312,
-0.103293,
-0.104165,
-0.104966,
-0.105716,
-0.106427,
-0.107107,
-0.107761,
-0.108393,
-0.109007,
-0.109603,
-0.110751,
-0.11185,
-0.125931,
-1.49079
],
[
1.66038,
0.332067,
0.118243,
0.0562339,
3.17782e-09,
-0.0248933,
-0.046575,
-0.0649893,
-0.0800839,
-0.0917834,
-0.0999242,
-0.104664,
-0.107323,
-0.109101,
-0.110489,
-0.111672,
-0.112733,
-0.113713,
-0.114635,
-0.115513,
-0.116357,
-0.117173,
-0.117966,
-0.118739,
-0.119495,
-0.120962,
-0.122382,
-0.134002,
-1.4975
],
[
1.66267,
0.341062,
0.12208,
0.0582635,
3.21737e-09,
-0.0259679,
-0.0487112,
-0.0681448,
-0.0841769,
-0.0966687,
-0.105356,
-0.110408,
-0.113296,
-0.115264,
-0.116816,
-0.118148,
-0.119346,
-0.120456,
-0.121503,
-0.122502,
-0.123465,
-0.124398,
-0.125306,
-0.126194,
-0.127063,
-0.128758,
-0.130405,
-0.140378,
-1.50252
],
[
1.66673,
0.355624,
0.128302,
0.0615056,
3.38289e-09,
-0.0276398,
-0.0520044,
-0.072962,
-0.09036,
-0.103962,
-0.11336,
-0.118803,
-0.121992,
-0.124219,
-0.125998,
-0.127534,
-0.128922,
-0.130212,
-0.13143,
-0.132597,
-0.133722,
-0.134814,
-0.13588,
-0.136923,
-0.137946,
-0.139947,
-0.1419,
-0.150784,
-1.50962
],
[
1.66996,
0.366791,
0.133045,
0.0639404,
3.72287e-09,
-0.0288639,
-0.0543941,
-0.076425,
-0.0947616,
-0.109097,
-0.118928,
-0.124604,
-0.127985,
-0.130379,
-0.132307,
-0.133979,
-0.135493,
-0.136901,
-0.138234,
-0.13951,
-0.140742,
-0.14194,
-0.143108,
-0.144253,
-0.145378,
-0.147578,
-0.149729,
-0.158891,
-1.51453
],
[
1.67563,
0.387797,
0.141781,
0.0683543,
6.71342e-09,
-0.031028,
-0.0585807,
-0.0824368,
-0.102331,
-0.117831,
-0.128289,
-0.134303,
-0.137979,
-0.14064,
-0.142807,
-0.144698,
-0.146415,
-0.148015,
-0.149532,
-0.150985,
-0.15239,
-0.153757,
-0.155091,
-0.156399,
-0.157686,
-0.160205,
-0.162673,
-0.172657,
-1.52303
],
[
1.67917,
0.401774,
0.147419,
0.0711596,
2.4502e-08,
-0.0323801,
-0.0611869,
-0.0861624,
-0.106995,
-0.123169,
-0.13396,
-0.140156,
-0.144003,
-0.14682,
-0.149128,
-0.151148,
-0.152987,
-0.154702,
-0.156328,
-0.157888,
-0.159396,
-0.160863,
-0.162296,
-0.163701,
-0.165084,
-0.167793,
-0.170449,
-0.18093,
-1.52819
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000486327,
"miller_cap_rise": 0.000707295,
"output_voltage_fall": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0322601,
0.0500723,
0.06846,
0.0881948,
0.1197058
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.102259,
0.1201523,
0.1383818,
0.1585172,
0.1901534
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
}
]
},
"output_voltage_rise": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0607641,
0.1183342,
0.172553,
0.2258831,
0.3023452
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.1343099,
0.1920311,
0.2461293,
0.2992143,
0.3758622
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
}
]
},
"propagated_noise_high": {
"vector,ccsn_pnlh": [
{
"index_1": [
1.05344
],
"index_2": [
3.34133
],
"index_3": [
0.001
],
"index_4": [
1.7046964,
1.8291354,
2.4186217,
2.8119684,
2.9717343
],
"values": [
1.11641,
0.826262,
0.632828,
0.826262,
1.11641
]
},
{
"index_1": [
1.11415
],
"index_2": [
1.96952
],
"index_3": [
0.001
],
"index_4": [
1.0112025,
1.0935131,
1.4782184,
1.7341987,
1.8411385
],
"values": [
1.10756,
0.812089,
0.615111,
0.812089,
1.10756
]
},
{
"index_1": [
1.16774
],
"index_2": [
1.33548
],
"index_3": [
0.001
],
"index_4": [
0.6900286,
0.7525175,
1.0412039,
1.2175387,
1.2988313
],
"values": [
1.10292,
0.804679,
0.605849,
0.804679,
1.10292
]
},
{
"index_1": [
1.05344
],
"index_2": [
1.67067
],
"index_3": [
0.001
],
"index_4": [
0.8760305,
0.9530896,
1.2833568,
1.4285171,
1.5125883
],
"values": [
1.37516,
1.24026,
1.15033,
1.24026,
1.37516
]
},
{
"index_1": [
1.11415
],
"index_2": [
0.984759
],
"index_3": [
0.001
],
"index_4": [
0.5235182,
0.575068,
0.7733731,
0.8814396,
0.9418306
],
"values": [
1.37451,
1.23921,
1.14901,
1.23921,
1.37451
]
},
{
"index_1": [
1.16774
],
"index_2": [
0.667738
],
"index_3": [
0.001
],
"index_4": [
0.3601307,
0.3989112,
0.5370928,
0.6198535,
0.6673706
],
"values": [
1.37446,
1.23914,
1.14893,
1.23914,
1.37446
]
},
{
"index_1": [
1.11415
],
"index_2": [
2.95428
],
"index_3": [
0.001
],
"index_4": [
1.4866225,
1.5853731,
2.1729399,
2.5886267,
2.7448129
],
"values": [
0.918017,
0.508827,
0.236034,
0.508827,
0.918017
]
}
]
},
"propagated_noise_low": {
"vector,ccsn_pnlh": [
{
"index_1": [
1.23196
],
"index_2": [
10.0861
],
"index_3": [
0.001
],
"index_4": [
5.0532883,
5.3666765,
6.6507313,
7.3010082,
7.5407716
],
"values": [
0.384714,
0.615543,
0.769429,
0.615543,
0.384714
]
},
{
"index_1": [
1.29613
],
"index_2": [
5.88628
],
"index_3": [
0.001
],
"index_4": [
2.9595163,
3.1737066,
4.0768617,
4.4333777,
4.5802834
],
"values": [
0.404656,
0.647449,
0.809312,
0.647449,
0.404656
]
},
{
"index_1": [
1.3506
],
"index_2": [
4.00919
],
"index_3": [
0.001
],
"index_4": [
2.0220852,
2.1863772,
2.8815925,
3.108624,
3.2139351
],
"values": [
0.419173,
0.670676,
0.838345,
0.670676,
0.419173
]
},
{
"index_1": [
1.23196
],
"index_2": [
5.04307
],
"index_3": [
0.001
],
"index_4": [
2.5478721,
2.715216,
3.4088654,
3.6978397,
3.8184804
],
"values": [
0.201009,
0.321614,
0.402017,
0.321614,
0.201009
]
},
{
"index_1": [
1.29613
],
"index_2": [
2.94314
],
"index_3": [
0.001
],
"index_4": [
1.4956539,
1.6096581,
1.9681889,
2.2426241,
2.319652
],
"values": [
0.205647,
0.329035,
0.411294,
0.329035,
0.205647
]
},
{
"index_1": [
1.3506
],
"index_2": [
2.0046
],
"index_3": [
0.001
],
"index_4": [
1.0241261,
1.1113899,
1.3826139,
1.5700846,
1.6292419
],
"values": [
0.209973,
0.335957,
0.419946,
0.335957,
0.209973
]
},
{
"index_1": [
1.29613
],
"index_2": [
8.82942
],
"index_3": [
0.001
],
"index_4": [
4.4272117,
4.71364,
6.1421183,
6.6237446,
6.8249949
],
"values": [
0.600907,
0.961452,
1.20181,
0.961452,
0.600907
]
}
]
},
"stage_type": "both"
},
"clock": "false",
"direction": "input",
"fall_capacitance": 0.002199,
"input_voltage": "GENERAL",
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.0031993,
0.0032437,
0.0032962,
0.003296,
0.003296,
0.0032969,
0.0032983,
0.0032921,
0.0032828,
0.0032918,
0.0033056,
0.0033262,
0.0033572
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
-0.0031564,
-0.0031409,
-0.0031226,
-0.003117,
-0.0031085,
-0.0031708,
-0.0032643,
-0.0032708,
-0.0032806,
-0.0032794,
-0.0032776,
-0.0032748,
-0.0032705
]
}
},
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.002426,
"timing": [
{
"related_pin": "GATE_N",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.1835469,
-0.173179,
-0.1601582,
-0.1353372,
-0.0966816,
-0.0327982,
0.0213197,
0.069334,
0.1149069,
0.1568177
],
[
-0.1939147,
-0.1835469,
-0.1693053,
-0.1457051,
-0.1070495,
-0.043166,
0.0109518,
0.0601868,
0.1045391,
0.1464499
],
[
-0.2081563,
-0.1990091,
-0.1847676,
-0.1611673,
-0.1225117,
-0.0586283,
-0.0045104,
0.0447246,
0.0902975,
0.1309876
],
[
-0.23786,
-0.2287129,
-0.2144714,
-0.1908711,
-0.1522155,
-0.088332,
-0.0342142,
0.0138001,
0.059373,
0.1025046
],
[
-0.2936055,
-0.2832376,
-0.2702168,
-0.2453958,
-0.2067402,
-0.1440775,
-0.0887389,
-0.0407246,
0.0036276,
0.0455384
],
[
-0.3843444,
-0.3739766,
-0.359735,
-0.3349141,
-0.2974792,
-0.2335957,
-0.1794779,
-0.1302428,
-0.0846699,
-0.0439798
],
[
-0.4604349,
-0.4500671,
-0.4358255,
-0.4110045,
-0.372349,
-0.3096862,
-0.2555684,
-0.2063333,
-0.1619811,
-0.1200703
],
[
-0.5267598,
-0.5176126,
-0.5033711,
-0.4797708,
-0.4398945,
-0.3760111,
-0.3231139,
-0.2750996,
-0.2295267,
-0.1876159
],
[
-0.5906432,
-0.5802754,
-0.5660338,
-0.5424336,
-0.5025573,
-0.4386738,
-0.3857767,
-0.3365417,
-0.2921895,
-0.2502786
],
[
-0.6484232,
-0.639276,
-0.6250345,
-0.6002136,
-0.5615579,
-0.4976745,
-0.4447773,
-0.3955423,
-0.3511901,
-0.3092793
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "recovery_rising",
"violation_delay_degrade_pct": 10
},
{
"related_pin": "GATE_N",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.203416,
0.1918275,
0.1788066,
0.1552064,
0.1177715,
0.0551087,
0.0022116,
-0.0458027,
-0.090155,
-0.1320658
],
[
0.2125632,
0.2021953,
0.1891745,
0.1655742,
0.1281393,
0.0654766,
0.0125794,
-0.0342142,
-0.0797871,
-0.1216979
],
[
0.2280254,
0.2176575,
0.2046367,
0.1810365,
0.1436016,
0.0809388,
0.026821,
-0.0211934,
-0.0655456,
-0.1062357
],
[
0.2577292,
0.248582,
0.2343405,
0.2107402,
0.1733053,
0.1106426,
0.0565247,
0.0097311,
-0.0358418,
-0.0777526
],
[
0.3134746,
0.3031068,
0.2900859,
0.2664857,
0.2278301,
0.1651673,
0.1122702,
0.0642559,
0.0211243,
-0.0207865
],
[
0.4029929,
0.392625,
0.3796042,
0.3560039,
0.3173483,
0.2546855,
0.2017884,
0.1537741,
0.1094219,
0.0687318
],
[
0.4790833,
0.4687155,
0.4544739,
0.4308737,
0.3934388,
0.330776,
0.2778789,
0.2310853,
0.1855124,
0.1448223
],
[
0.5466289,
0.5362611,
0.5232402,
0.49964,
0.4609844,
0.3983216,
0.3466452,
0.2974102,
0.2542786,
0.2123678
],
[
0.6092917,
0.6001445,
0.585903,
0.5623027,
0.5236471,
0.4609844,
0.4093079,
0.3612936,
0.3157207,
0.2750306
],
[
0.6682923,
0.6579245,
0.6449036,
0.6213034,
0.5826478,
0.519985,
0.4670879,
0.4202943,
0.3747214,
0.3340313
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "removal_rising",
"violation_delay_degrade_pct": 10
},
{
"fall_constraint,pulse_width_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.2741431,
0.2761738,
0.2803985,
0.2883749,
0.3074716,
0.3421751,
0.3953371,
0.4756295,
0.5955271,
0.7792267,
1.1111666,
1.6666666,
2.5
]
},
"related_output_pin": "Q",
"related_pin": "RESET_B",
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "min_pulse_width",
"violation_delay_degrade_pct": 10
}
]
}
}