blob: 8aadb9bcdb127a74bed403e1a1a6af05fe4a4e50 [file] [log] [blame]
{
"area": 28.7712,
"cell_footprint": "sky130_fd_sc_ls__dfxtp",
"cell_leakage_power": 3.700659,
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"ff,IQ,IQ_N": {
"clocked_on": "CLK",
"next_state": "D"
},
"leakage_power": [
{
"value": 4.948173,
"when": "D&CLK"
},
{
"value": 3.5553658,
"when": "!D&!CLK"
},
{
"value": 3.2730665,
"when": "!D&CLK"
},
{
"value": 3.0260308,
"when": "D&!CLK"
}
],
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,CLK": {
"capacitance": 0.00278,
"clock": "true",
"direction": "input",
"fall_capacitance": 0.002681,
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.0325134,
0.0323657,
0.0321919,
0.0321052,
0.0319761,
0.0319582,
0.0319324,
0.0320913,
0.0323309,
0.0332669,
0.0346721,
0.0367809,
0.0399458
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.0220176,
0.0219244,
0.0218148,
0.0216186,
0.0213251,
0.0212435,
0.0211221,
0.0212128,
0.0213499,
0.0221677,
0.0233953,
0.0252375,
0.0280021
]
}
},
"max_transition": 1.5,
"min_pulse_width_high": 0.139049,
"min_pulse_width_low": 0.202762,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.002879
},
"pin,D": {
"capacitance": 0.001873,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.001965,
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.0058605,
0.0057875,
0.0057019,
0.0057099,
0.0057233,
0.0057705,
0.0058425,
0.0059791,
0.0061854,
0.0066535,
0.0073568,
0.0084129,
0.0099985
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
-8.7730288e-06,
-6.7805786e-05,
-0.0001371,
-0.0002469,
-0.0004108,
-0.0003956,
-0.0003719,
-0.0002337,
-2.5683796e-05,
0.0004465,
0.0011556,
0.0022203,
0.0038184
]
}
},
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.001781,
"timing": [
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.1301738,
0.1381003,
0.1499004,
0.1747214,
0.225584,
0.3138815,
0.3826478,
0.4416484,
0.4933249,
0.5413392
],
[
0.1222474,
0.1289531,
0.1407533,
0.1667949,
0.2176575,
0.3059551,
0.3747214,
0.433722,
0.4853984,
0.5334127
],
[
0.1092266,
0.117153,
0.1289531,
0.1549948,
0.2058574,
0.2941549,
0.3629212,
0.4219219,
0.4735983,
0.5228333
],
[
0.0905091,
0.0972148,
0.1102357,
0.1350566,
0.18714,
0.2742168,
0.3429831,
0.4019837,
0.4548809,
0.5028952
],
[
0.0628398,
0.0707663,
0.0825664,
0.1086081,
0.1606914,
0.2465475,
0.3153138,
0.3743145,
0.4272116,
0.4764466
],
[
0.0282533,
0.0361797,
0.0479798,
0.0740215,
0.1248841,
0.2107402,
0.2807272,
0.3397279,
0.392625,
0.44186
],
[
0.0058737,
0.0125794,
0.0256003,
0.0504212,
0.1012839,
0.18714,
0.2559063,
0.3149069,
0.3690247,
0.4182598
],
[
-0.011623,
-0.0036966,
0.0081035,
0.0329245,
0.0825664,
0.1684225,
0.2384095,
0.2974102,
0.3503073,
0.3995423
],
[
-0.0254577,
-0.0175312,
-0.0057311,
0.0203105,
0.0699525,
0.1545879,
0.2233542,
0.2823548,
0.3364726,
0.3857077
],
[
-0.0356302,
-0.0277038,
-0.0159036,
0.010138,
0.0585592,
0.1431947,
0.2119609,
0.2709616,
0.3250794,
0.3743145
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.0752422,
0.0819479,
0.093748,
0.1124655,
0.1425762,
0.1820456,
0.2080872,
0.2268047,
0.2406393,
0.2508119
],
[
0.0673158,
0.0740215,
0.0846009,
0.1033184,
0.1346497,
0.1741191,
0.2001608,
0.2188783,
0.2327129,
0.2416647
],
[
0.0567363,
0.0634421,
0.0740215,
0.0939596,
0.1240703,
0.162319,
0.1883607,
0.2070781,
0.2196921,
0.2298646
],
[
0.0429017,
0.0496074,
0.0601868,
0.0789043,
0.1077943,
0.1448223,
0.1696432,
0.18714,
0.2009746,
0.2099264
],
[
0.0274395,
0.0353659,
0.0459453,
0.0634421,
0.0911113,
0.1256979,
0.1492982,
0.1643535,
0.1769674,
0.1846986
],
[
0.0123841,
0.0190898,
0.0296693,
0.0483867,
0.0736146,
0.1069805,
0.1281393,
0.1431947,
0.1545879,
0.162319
],
[
0.004653,
0.0113587,
0.0219382,
0.0394349,
0.0646628,
0.0968079,
0.1167461,
0.1318014,
0.141974,
0.1497051
],
[
-0.0006367,
0.006069,
0.0166484,
0.0341452,
0.059373,
0.0902975,
0.1102357,
0.1240703,
0.1354635,
0.141974
],
[
-0.0022643,
0.0044414,
0.0138001,
0.0300762,
0.0565247,
0.0874492,
0.1073874,
0.1200013,
0.1301738,
0.137905
],
[
-0.0038919,
0.0028138,
0.0121725,
0.0296693,
0.0548971,
0.0846009,
0.1045391,
0.1183737,
0.1273255,
0.1350566
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "setup_rising",
"violation_delay_degrade_pct": 10
},
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.0456074,
-0.0523132,
-0.065334,
-0.090155,
-0.1397969,
-0.2146667,
-0.2712259,
-0.3204609,
-0.3635924,
-0.4030618
],
[
-0.0389017,
-0.0456074,
-0.0574075,
-0.0834492,
-0.1330911,
-0.2079609,
-0.2657409,
-0.3137552,
-0.3568867,
-0.3975768
],
[
-0.0283223,
-0.0362487,
-0.0480488,
-0.0740905,
-0.1237324,
-0.1986022,
-0.2563821,
-0.3056172,
-0.3499694,
-0.3894388
],
[
-0.0132669,
-0.0199727,
-0.0317728,
-0.0578145,
-0.1062357,
-0.1811055,
-0.2413268,
-0.2905618,
-0.3361348,
-0.3780456
],
[
0.0107402,
0.0040345,
-0.0077656,
-0.0338073,
-0.0822285,
-0.158319,
-0.2185404,
-0.2689961,
-0.3157897,
-0.3577005
],
[
0.0416647,
0.034959,
0.0219382,
-0.0028828,
-0.051304,
-0.1286152,
-0.1888366,
-0.240513,
-0.2885274,
-0.3316589
],
[
0.0616029,
0.0548971,
0.0418763,
0.0170553,
-0.0301452,
-0.1074564,
-0.1688984,
-0.2217956,
-0.2685892,
-0.3129414
],
[
0.0766582,
0.0699525,
0.0569316,
0.0321107,
-0.0150898,
-0.0911803,
-0.1526224,
-0.2055195,
-0.2535338,
-0.2966654
],
[
0.0880514,
0.080125,
0.0683249,
0.0435039,
-0.0024759,
-0.0797871,
-0.1400085,
-0.1941263,
-0.2409199,
-0.2840514
],
[
0.0970033,
0.0890768,
0.076056,
0.0524557,
0.0064759,
-0.0696146,
-0.1310566,
-0.1839538,
-0.2319681,
-0.2763203
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.0297383,
-0.036444,
-0.0470234,
-0.0645202,
-0.089748,
-0.1170104,
-0.1332865,
-0.143459,
-0.147528,
-0.1491556
],
[
-0.0230326,
-0.0297383,
-0.0403177,
-0.0590352,
-0.0830423,
-0.1115254,
-0.1265807,
-0.1367533,
-0.1408223,
-0.1436706
],
[
-0.0148945,
-0.022821,
-0.0334004,
-0.0508971,
-0.0749043,
-0.1021667,
-0.1184427,
-0.1286152,
-0.133905,
-0.1355326
],
[
-0.004722,
-0.0114277,
-0.0220072,
-0.0395039,
-0.0635111,
-0.0907734,
-0.1070495,
-0.117222,
-0.1225117,
-0.12536
],
[
0.0082988,
0.0015931,
-0.0089863,
-0.0264831,
-0.0492695,
-0.0777526,
-0.0940286,
-0.1042012,
-0.1107116,
-0.1135599
],
[
0.0221335,
0.0154277,
0.0048483,
-0.0114277,
-0.0354349,
-0.0626973,
-0.0789733,
-0.0903665,
-0.0956563,
-0.0997253
],
[
0.0286439,
0.0219382,
0.0125794,
-0.0049173,
-0.0277038,
-0.0549661,
-0.0712422,
-0.0826354,
-0.0891458,
-0.0932148
],
[
0.0314922,
0.0260072,
0.0166484,
0.0003724,
-0.0236348,
-0.0508971,
-0.0671732,
-0.0785664,
-0.0862975,
-0.0891458
],
[
0.0331198,
0.0276348,
0.018276,
0.002,
-0.0220072,
-0.0492695,
-0.0655456,
-0.0769388,
-0.0834492,
-0.0887389
],
[
0.0335267,
0.026821,
0.0174622,
0.0024069,
-0.0216003,
-0.0488626,
-0.0651387,
-0.0765319,
-0.084263,
-0.088332
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "hold_rising",
"violation_delay_degrade_pct": 10
}
]
},
"pin,Q": {
"direction": "output",
"function": "IQ",
"internal_power": {
"fall_power,pwr_template13x8": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00568,
0.01136,
0.02272,
0.04544,
0.09088,
0.18177,
0.36354
],
"values": [
[
0.0272992,
0.0192066,
0.010981,
-0.0066336,
-0.0430568,
-0.1164811,
-0.2636274,
-0.5580518
],
[
0.0273205,
0.0192174,
0.0110073,
-0.0066136,
-0.0430183,
-0.1164531,
-0.2636073,
-0.5580202
],
[
0.0273241,
0.0192164,
0.0110086,
-0.00661,
-0.0430149,
-0.1164489,
-0.2636032,
-0.55802
],
[
0.0271378,
0.0190685,
0.0108823,
-0.006758,
-0.0431538,
-0.1166158,
-0.2637448,
-0.5581655
],
[
0.0273326,
0.0192045,
0.0110365,
-0.0065976,
-0.0430062,
-0.1164738,
-0.2635981,
-0.558011
],
[
0.0272758,
0.0191741,
0.0109953,
-0.006635,
-0.0430424,
-0.1165046,
-0.2636313,
-0.5580547
],
[
0.0273008,
0.0192351,
0.0110527,
-0.0065942,
-0.0430013,
-0.1164374,
-0.2635942,
-0.5580009
],
[
0.0272542,
0.0191573,
0.0109842,
-0.0066507,
-0.0430656,
-0.1164957,
-0.2636473,
-0.5580674
],
[
0.0274849,
0.019358,
0.011173,
-0.0064738,
-0.0428774,
-0.1163179,
-0.2634731,
-0.5578756
],
[
0.0273485,
0.0192296,
0.0110558,
-0.0065814,
-0.0429993,
-0.116419,
-0.2635724,
-0.5579928
],
[
0.0290031,
0.0200633,
0.0110667,
-0.0066787,
-0.0430979,
-0.1165241,
-0.2636693,
-0.558093
],
[
0.0296552,
0.0207906,
0.0118735,
-0.0062341,
-0.0428835,
-0.116415,
-0.2635693,
-0.5579818
],
[
0.0302953,
0.0214128,
0.0125021,
-0.0056165,
-0.0422053,
-0.115708,
-0.2629806,
-0.5573945
]
]
},
"related_pin": "CLK",
"rise_power,pwr_template13x8": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00568,
0.01136,
0.02272,
0.04544,
0.09088,
0.18177,
0.36354
],
"values": [
[
0.0267041,
0.0365191,
0.046057,
0.0646998,
0.1011696,
0.1740893,
0.3200677,
0.6117223
],
[
0.0266649,
0.0364637,
0.0459818,
0.0645605,
0.1013646,
0.1739523,
0.320703,
0.6121078
],
[
0.026707,
0.0365281,
0.0460691,
0.0646031,
0.1014178,
0.1739762,
0.3201438,
0.6120273
],
[
0.0267452,
0.0365518,
0.0460845,
0.0645802,
0.1013348,
0.1742408,
0.3206637,
0.6125575
],
[
0.0268794,
0.0367091,
0.046245,
0.0647578,
0.1014001,
0.1746143,
0.320133,
0.6122364
],
[
0.0265988,
0.0364024,
0.0459222,
0.064445,
0.1010095,
0.1738447,
0.3204147,
0.6121071
],
[
0.0265502,
0.0363726,
0.0459127,
0.0644103,
0.1009958,
0.1739111,
0.3199533,
0.6125297
],
[
0.0264653,
0.0362601,
0.0457812,
0.0643048,
0.1008851,
0.1740083,
0.3202917,
0.6123345
],
[
0.0265679,
0.0363573,
0.0459357,
0.064388,
0.1009782,
0.1739886,
0.3207058,
0.6121504
],
[
0.026295,
0.0360742,
0.045623,
0.064138,
0.100974,
0.1735354,
0.3198285,
0.6119727
],
[
0.0268531,
0.0359559,
0.0454217,
0.0640834,
0.1003791,
0.173482,
0.3194571,
0.6111815
],
[
0.0274992,
0.0367484,
0.0460678,
0.0642834,
0.1008068,
0.1736053,
0.3200456,
0.6117955
],
[
0.0278153,
0.0370537,
0.0463389,
0.0649801,
0.1014312,
0.1739618,
0.3197075,
0.6109689
]
]
}
},
"max_capacitance": 0.36354,
"max_transition": 1.507462,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": {
"cell_fall,delay_template13x8": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00568,
0.01136,
0.02272,
0.04544,
0.09088,
0.18177,
0.36354
],
"values": [
[
0.2538107,
0.2754781,
0.2904364,
0.3145797,
0.3560979,
0.433106,
0.5845765,
0.887755
],
[
0.2562544,
0.2779377,
0.2928845,
0.3170517,
0.3584908,
0.4355453,
0.5874869,
0.890702
],
[
0.259235,
0.280913,
0.2958699,
0.320032,
0.3614721,
0.4385391,
0.5904555,
0.8935687
],
[
0.2639028,
0.2856042,
0.3005414,
0.3247144,
0.3662121,
0.4432785,
0.5949348,
0.8986779
],
[
0.2708674,
0.2925372,
0.3074986,
0.331701,
0.3731602,
0.4502388,
0.6019426,
0.9056258
],
[
0.2812428,
0.3029381,
0.3178927,
0.3420955,
0.3835576,
0.4606281,
0.6123699,
0.9158634
],
[
0.2961336,
0.3178265,
0.332772,
0.3569392,
0.398408,
0.4754448,
0.6273665,
0.9295586
],
[
0.3143421,
0.33606,
0.3509892,
0.3751921,
0.4166488,
0.493707,
0.6454048,
0.9479451
],
[
0.3354392,
0.3570898,
0.3720244,
0.3962134,
0.4377128,
0.5147434,
0.6663121,
0.9700614
],
[
0.3594879,
0.381154,
0.3960941,
0.4203142,
0.4617501,
0.538756,
0.6904227,
0.9942601
],
[
0.386051,
0.4077307,
0.4225857,
0.4468248,
0.4883443,
0.5653086,
0.7171428,
1.0202923
],
[
0.4144065,
0.4360916,
0.4509413,
0.4752003,
0.5166821,
0.5937708,
0.7452263,
1.0481488
],
[
0.4431715,
0.4648716,
0.4798255,
0.5039507,
0.5454797,
0.6225253,
0.7739214,
1.0777779
]
]
},
"cell_rise,delay_template13x8": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00568,
0.01136,
0.02272,
0.04544,
0.09088,
0.18177,
0.36354
],
"values": [
[
0.2489667,
0.2756617,
0.2950636,
0.3293756,
0.3940651,
0.5226811,
0.7768326,
1.2895696
],
[
0.25147,
0.2781515,
0.2976109,
0.3318534,
0.3965773,
0.5247803,
0.7809923,
1.2897481
],
[
0.2542914,
0.2810147,
0.3004814,
0.3347974,
0.3996872,
0.5276293,
0.7829287,
1.2953092
],
[
0.2588583,
0.2855248,
0.304978,
0.3392693,
0.4040591,
0.5320624,
0.7870326,
1.2976881
],
[
0.2654601,
0.2922368,
0.3116494,
0.3460038,
0.4108981,
0.5387514,
0.7934584,
1.3050705
],
[
0.275838,
0.3024889,
0.3219458,
0.3561567,
0.4209166,
0.5492281,
0.8046479,
1.3151382
],
[
0.2900961,
0.3168227,
0.3362862,
0.3706031,
0.4354927,
0.5634644,
0.8187182,
1.3302875
],
[
0.3082773,
0.3349721,
0.3543952,
0.3886459,
0.4534247,
0.5817177,
0.8373774,
1.3471482
],
[
0.3294418,
0.3561017,
0.3755932,
0.4098007,
0.474604,
0.602898,
0.8584866,
1.3677426
],
[
0.3537223,
0.3804188,
0.3999025,
0.4342554,
0.4990927,
0.6271448,
0.8826059,
1.393537
],
[
0.3811346,
0.4078986,
0.4273523,
0.4616997,
0.5263482,
0.6544046,
0.9099024,
1.4210576
],
[
0.4108249,
0.4376642,
0.4571135,
0.4914497,
0.5563387,
0.6845613,
0.9388551,
1.4487627
],
[
0.4416398,
0.4685117,
0.4879998,
0.5222325,
0.5869325,
0.715612,
0.9698847,
1.4796456
]
]
},
"fall_transition,delay_template13x8": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00568,
0.01136,
0.02272,
0.04544,
0.09088,
0.18177,
0.36354
],
"values": [
[
0.0178736,
0.033807,
0.0463979,
0.0702927,
0.1177768,
0.2173627,
0.4220515,
0.8347257
],
[
0.0176837,
0.0338387,
0.0463821,
0.0700786,
0.1179922,
0.2172617,
0.4218383,
0.8326866
],
[
0.0176834,
0.0335841,
0.0463828,
0.0700846,
0.1180029,
0.2173527,
0.4219218,
0.8322096
],
[
0.0177363,
0.0336433,
0.0464707,
0.0704212,
0.1178003,
0.2171074,
0.4209251,
0.8323976
],
[
0.0176821,
0.0338497,
0.0464626,
0.0703969,
0.1180118,
0.216867,
0.4207668,
0.832592
],
[
0.0176887,
0.0335829,
0.0464643,
0.0703934,
0.1180497,
0.2171825,
0.4207667,
0.8315195
],
[
0.0178065,
0.0335519,
0.0465518,
0.0702648,
0.1178864,
0.2167903,
0.4212893,
0.8344389
],
[
0.0176804,
0.0336398,
0.0464448,
0.0703776,
0.1179017,
0.2172539,
0.4209458,
0.8324291
],
[
0.0178689,
0.0337982,
0.0464655,
0.0704895,
0.1179381,
0.2174237,
0.4217699,
0.8329984
],
[
0.0178496,
0.0337776,
0.0464531,
0.0702726,
0.1178979,
0.2174431,
0.4217563,
0.8322861
],
[
0.0178303,
0.0338207,
0.0464517,
0.0705071,
0.1179952,
0.2168409,
0.421373,
0.8334085
],
[
0.0178645,
0.0335412,
0.0464604,
0.0705152,
0.1181491,
0.2174212,
0.4201874,
0.8329539
],
[
0.0178178,
0.033678,
0.0465343,
0.0705052,
0.1180866,
0.2168636,
0.4222055,
0.8319496
]
]
},
"related_pin": "CLK",
"rise_transition,delay_template13x8": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00568,
0.01136,
0.02272,
0.04544,
0.09088,
0.18177,
0.36354
],
"values": [
[
0.0223979,
0.0451921,
0.0661226,
0.1093012,
0.1997989,
0.3854527,
0.7578455,
1.502826
],
[
0.0223631,
0.0451022,
0.0661286,
0.1094278,
0.1995766,
0.3863237,
0.7583718,
1.5025855
],
[
0.022446,
0.045108,
0.0661633,
0.1094792,
0.199737,
0.3859809,
0.757549,
1.5034713
],
[
0.0223585,
0.0451298,
0.0660794,
0.1093152,
0.1999624,
0.3863575,
0.7569347,
1.5040845
],
[
0.0222638,
0.0451207,
0.0660563,
0.1094944,
0.1999654,
0.3853476,
0.7584655,
1.5044286
],
[
0.0223321,
0.0451448,
0.066091,
0.1094886,
0.1998216,
0.385337,
0.7571329,
1.5039823
],
[
0.022453,
0.0451068,
0.0661663,
0.1094675,
0.1996603,
0.3849654,
0.7569036,
1.5074615
],
[
0.022358,
0.0450958,
0.0661195,
0.1094893,
0.1999597,
0.3855209,
0.7577198,
1.5034953
],
[
0.0223691,
0.0451832,
0.0660362,
0.1094473,
0.2000697,
0.3856234,
0.7581345,
1.5037438
],
[
0.022405,
0.0451777,
0.0661386,
0.1095022,
0.2002408,
0.3851257,
0.7570613,
1.5037612
],
[
0.0223228,
0.0451912,
0.0660897,
0.1094257,
0.1994967,
0.3847998,
0.7572193,
1.5038106
],
[
0.022459,
0.0452215,
0.0662344,
0.1095558,
0.200436,
0.3854317,
0.7576317,
1.5025171
],
[
0.0225469,
0.0453232,
0.0662951,
0.1095485,
0.1999049,
0.3856695,
0.7578573,
1.5028903
]
]
},
"timing_sense": "non_unate",
"timing_type": "rising_edge"
}
}
}