blob: a579157ae3d8997d73ca029e5947d20e5c72eb7a [file] [log] [blame]
{
"area": 17.5824,
"cell_footprint": "sky130_fd_sc_ls__clkbuf",
"cell_leakage_power": 0.0009510436,
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"leakage_power": [
{
"value": 0.0007624,
"when": "A"
},
{
"value": 0.0011397,
"when": "!A"
}
],
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,A": {
"capacitance": 0.003798,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.003539,
"max_transition": 5.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.004057
},
"pin,X": {
"direction": "output",
"function": "(A)",
"internal_power": {
"fall_power,pwr_template13x24": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.481633,
2.222333,
3.333333,
5
],
"index_2": [
0,
0.00953,
0.01144,
0.01373,
0.01648,
0.01978,
0.02373,
0.02848,
0.03418,
0.04102,
0.04922,
0.05907,
0.07088,
0.08506,
0.10207,
0.12248,
0.14698,
0.17638,
0.21165,
0.25398,
0.30478,
0.36574,
0.43889,
0.52667
],
"values": [
[
0.0307722,
0.0226896,
0.0209869,
0.0189356,
0.0164999,
0.0134494,
0.0097723,
0.0051327,
-0.0004155,
-0.0071086,
-0.0153745,
-0.0253273,
-0.0372938,
-0.0517713,
-0.0692335,
-0.0902378,
-0.1155592,
-0.1459717,
-0.1824713,
-0.2263039,
-0.2789412,
-0.3421192,
-0.4178823,
-0.5089352
],
[
0.0307801,
0.0226348,
0.0210096,
0.0189413,
0.0164296,
0.0133204,
0.0095584,
0.0050613,
-0.0004328,
-0.0071836,
-0.0154189,
-0.0253442,
-0.0373081,
-0.0517884,
-0.0692722,
-0.09031,
-0.1155936,
-0.1460179,
-0.182521,
-0.2263587,
-0.2789989,
-0.3421422,
-0.4179788,
-0.5089086
],
[
0.0307321,
0.0225755,
0.0209409,
0.0188481,
0.0164058,
0.0133355,
0.0095838,
0.0050528,
-0.0005111,
-0.0072257,
-0.01547,
-0.025395,
-0.0373816,
-0.0518817,
-0.069324,
-0.0903729,
-0.1156705,
-0.1460831,
-0.1825734,
-0.226416,
-0.2790366,
-0.3421763,
-0.4180041,
-0.5090482
],
[
0.0305971,
0.0225399,
0.020802,
0.0188191,
0.0162989,
0.0132576,
0.0095135,
0.004955,
-0.000617,
-0.0073276,
-0.0154962,
-0.0254219,
-0.0374342,
-0.0519289,
-0.0694016,
-0.090425,
-0.115706,
-0.1461191,
-0.1826204,
-0.226464,
-0.2790879,
-0.3422602,
-0.4180968,
-0.5090855
],
[
0.0305954,
0.0224374,
0.0207409,
0.0187217,
0.0161839,
0.0131575,
0.009411,
0.0048144,
-0.0007537,
-0.0074857,
-0.0156607,
-0.0256078,
-0.0375672,
-0.0520533,
-0.0694936,
-0.0905239,
-0.1158029,
-0.1462123,
-0.1826947,
-0.2265227,
-0.2791545,
-0.3423257,
-0.4181372,
-0.5091307
],
[
0.0304942,
0.0223319,
0.0206566,
0.0186034,
0.0160415,
0.012984,
0.0092611,
0.0046939,
-0.0008362,
-0.0075142,
-0.0157309,
-0.0256627,
-0.0376675,
-0.0521547,
-0.0696006,
-0.0905915,
-0.1158956,
-0.146303,
-0.1827824,
-0.2266165,
-0.2792288,
-0.34239,
-0.4182094,
-0.5092224
],
[
0.0304214,
0.0221713,
0.0204721,
0.0184026,
0.0158791,
0.0128997,
0.0091586,
0.0045471,
-0.0009903,
-0.0077383,
-0.0159539,
-0.0258815,
-0.0378503,
-0.0523304,
-0.0697781,
-0.0907865,
-0.1160348,
-0.1464243,
-0.1828966,
-0.2267191,
-0.2793365,
-0.3424954,
-0.4182916,
-0.5092844
],
[
0.0303011,
0.0221235,
0.0203301,
0.018254,
0.0157214,
0.0126322,
0.0088886,
0.0043099,
-0.001196,
-0.0079978,
-0.0161737,
-0.0261177,
-0.0381005,
-0.052567,
-0.0700057,
-0.0909845,
-0.1162498,
-0.1465922,
-0.1830547,
-0.2268621,
-0.2794596,
-0.3426159,
-0.4184289,
-0.5093953
],
[
0.0301866,
0.0217999,
0.02008,
0.018047,
0.0155049,
0.012376,
0.0086245,
0.0040356,
-0.0015549,
-0.0083115,
-0.0165197,
-0.0264298,
-0.0384092,
-0.0528719,
-0.0703062,
-0.0912786,
-0.1165032,
-0.1468505,
-0.1832969,
-0.2270704,
-0.2796558,
-0.342782,
-0.4185604,
-0.5095124
],
[
0.0299367,
0.0214608,
0.019776,
0.0177088,
0.0151082,
0.0120033,
0.0082667,
0.0036545,
-0.0018899,
-0.0086489,
-0.016873,
-0.0268001,
-0.0387657,
-0.0532372,
-0.070652,
-0.0916006,
-0.1168074,
-0.1471465,
-0.183568,
-0.227328,
-0.279887,
-0.3429975,
-0.418756,
-0.5096945
],
[
0.0297247,
0.0211655,
0.0194782,
0.0173787,
0.0148027,
0.0116856,
0.0079646,
0.0033313,
-0.0022926,
-0.0090045,
-0.0172356,
-0.0271448,
-0.0391184,
-0.0535885,
-0.0710073,
-0.0919255,
-0.117165,
-0.1474617,
-0.1838558,
-0.2275941,
-0.2801233,
-0.3431985,
-0.4189366,
-0.509866
],
[
0.0287507,
0.0203422,
0.0186703,
0.016593,
0.0140931,
0.0110268,
0.0072987,
0.0027509,
-0.0028272,
-0.0095259,
-0.0176346,
-0.0275042,
-0.0394085,
-0.0538821,
-0.0713191,
-0.0922706,
-0.1174675,
-0.147774,
-0.1841519,
-0.2279028,
-0.2804137,
-0.3434429,
-0.4191471,
-0.5100588
],
[
0.0310559,
0.0217298,
0.0198165,
0.0175025,
0.0147153,
0.0114197,
0.0074053,
0.0025347,
-0.0032967,
-0.0103217,
-0.0185837,
-0.0283713,
-0.040225,
-0.0545961,
-0.0718516,
-0.0927229,
-0.1178258,
-0.148099,
-0.1844641,
-0.2281902,
-0.2807103,
-0.3437784,
-0.4194532,
-0.5103304
]
]
},
"related_pin": "A",
"rise_power,pwr_template13x24": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.481633,
2.222333,
3.333333,
5
],
"index_2": [
0,
0.00953,
0.01144,
0.01373,
0.01648,
0.01978,
0.02373,
0.02848,
0.03418,
0.04102,
0.04922,
0.05907,
0.07088,
0.08506,
0.10207,
0.12248,
0.14698,
0.17638,
0.21165,
0.25398,
0.30478,
0.36574,
0.43889,
0.52667
],
"values": [
[
0.0277261,
0.0383045,
0.0403925,
0.0428846,
0.0458438,
0.0493386,
0.0535652,
0.0584849,
0.0646629,
0.0716643,
0.0800665,
0.0907653,
0.1025949,
0.1171196,
0.1346187,
0.1557236,
0.1810111,
0.2114804,
0.2474585,
0.2910871,
0.3438896,
0.4055042,
0.4805204,
0.5710768
],
[
0.0276738,
0.0383036,
0.0404045,
0.0429,
0.0459068,
0.049361,
0.0535069,
0.0584288,
0.0648162,
0.0717111,
0.0800948,
0.0907735,
0.1025588,
0.1172764,
0.1349611,
0.1558009,
0.1810419,
0.2110176,
0.2471791,
0.2910106,
0.3434059,
0.4056867,
0.4811297,
0.5713012
],
[
0.0276458,
0.0382508,
0.0403488,
0.0428103,
0.0458016,
0.0491945,
0.0534606,
0.0584216,
0.0644786,
0.0715555,
0.0800319,
0.0905032,
0.1025237,
0.1171159,
0.1349095,
0.1557315,
0.1808955,
0.2110277,
0.2473282,
0.2907282,
0.3429572,
0.4060129,
0.4809455,
0.5704684
],
[
0.0276007,
0.0381992,
0.040282,
0.0427792,
0.045819,
0.0491935,
0.0533204,
0.0582964,
0.0646099,
0.0714555,
0.0799378,
0.0904385,
0.1024435,
0.1171802,
0.1346796,
0.1556051,
0.1810718,
0.2109076,
0.2471987,
0.2908926,
0.3433029,
0.4056734,
0.4810966,
0.5711577
],
[
0.0275105,
0.0381298,
0.0402652,
0.0427236,
0.0457043,
0.0490913,
0.0532157,
0.0584118,
0.0642559,
0.0713136,
0.0799224,
0.0900379,
0.10278,
0.1170019,
0.134583,
0.1557102,
0.1807285,
0.2109175,
0.2472508,
0.2911081,
0.3433814,
0.4057715,
0.4808082,
0.5710211
],
[
0.0273799,
0.0379966,
0.0401138,
0.0425703,
0.0455229,
0.0490559,
0.0529807,
0.0579441,
0.0642084,
0.0710774,
0.0794996,
0.0897221,
0.1022531,
0.1167173,
0.1343102,
0.1554701,
0.1804719,
0.2108102,
0.2469239,
0.2904824,
0.3434987,
0.4056144,
0.4806679,
0.5706267
],
[
0.0272172,
0.0377457,
0.0398242,
0.042298,
0.045169,
0.0488034,
0.0528814,
0.0580144,
0.0637199,
0.0708081,
0.0793911,
0.0895354,
0.1019099,
0.1163946,
0.133971,
0.1550355,
0.1802153,
0.2103313,
0.2469748,
0.2907391,
0.342793,
0.4055916,
0.480415,
0.5709246
],
[
0.026897,
0.0373092,
0.0393582,
0.0418361,
0.0447889,
0.0481168,
0.052477,
0.057282,
0.0635396,
0.0703856,
0.0792849,
0.0890941,
0.1014348,
0.1160228,
0.1336073,
0.1546407,
0.1800552,
0.2101619,
0.2469146,
0.2899833,
0.3422656,
0.4054204,
0.4799459,
0.5703376
],
[
0.0267764,
0.0371862,
0.0392573,
0.0417139,
0.0445784,
0.0480121,
0.0521057,
0.0571731,
0.0630248,
0.0702132,
0.0790518,
0.0888858,
0.1010153,
0.1155953,
0.1331502,
0.1541579,
0.1795886,
0.2101148,
0.2460197,
0.289747,
0.3420179,
0.4049925,
0.4798921,
0.5702462
],
[
0.0266495,
0.0368671,
0.0389145,
0.0413837,
0.0444548,
0.0478759,
0.0519741,
0.0570546,
0.0629051,
0.0699482,
0.0784982,
0.0885582,
0.1009983,
0.1156718,
0.1332586,
0.1540896,
0.1793422,
0.2098246,
0.2458255,
0.2894083,
0.342065,
0.4044683,
0.4798472,
0.5698387
],
[
0.0270643,
0.037244,
0.0393389,
0.0417476,
0.0444709,
0.0478013,
0.0518717,
0.0567794,
0.0625714,
0.0696357,
0.0782918,
0.0885074,
0.1009855,
0.1151547,
0.1325998,
0.1537234,
0.1787136,
0.2095086,
0.2454755,
0.2891929,
0.3417725,
0.4040635,
0.4794294,
0.5699901
],
[
0.0269402,
0.0370955,
0.0391549,
0.0416148,
0.0445314,
0.0481202,
0.0519345,
0.0570763,
0.0632808,
0.0704974,
0.0789363,
0.0881758,
0.1005963,
0.1146967,
0.1322835,
0.1537516,
0.1786082,
0.2090256,
0.2449311,
0.2887985,
0.341003,
0.4040658,
0.479413,
0.5696764
],
[
0.02678,
0.0371623,
0.0392081,
0.041628,
0.044204,
0.0480446,
0.0522175,
0.0572417,
0.0630651,
0.0703496,
0.078946,
0.0889521,
0.1011645,
0.1160363,
0.1332858,
0.1535857,
0.1785796,
0.2086902,
0.2454781,
0.2888888,
0.3410336,
0.4037714,
0.4789341,
0.5689175
]
]
}
},
"max_capacitance": 0.52667,
"max_transition": 2.426256,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": {
"cell_fall,delay_template13x24": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.00953,
0.01144,
0.01373,
0.01648,
0.01978,
0.02373,
0.02848,
0.03418,
0.04102,
0.04922,
0.05907,
0.07088,
0.08506,
0.10207,
0.12248,
0.14698,
0.17638,
0.21165,
0.25398,
0.30478,
0.36574,
0.43889,
0.52667
],
"values": [
[
0.392722,
0.4227559,
0.4276838,
0.4335364,
0.4398217,
0.447562,
0.4556296,
0.4648603,
0.4753722,
0.4873968,
0.5001433,
0.5156937,
0.5338875,
0.5543988,
0.5779702,
0.6053064,
0.6374262,
0.6750414,
0.719781,
0.7724391,
0.8358862,
0.9110829,
1.0020055,
1.1105168
],
[
0.4043718,
0.4391826,
0.4442587,
0.4498377,
0.4562628,
0.4632837,
0.470996,
0.4803463,
0.4914108,
0.5033343,
0.5167848,
0.5322516,
0.5499289,
0.5703866,
0.5939969,
0.6214284,
0.6533808,
0.691164,
0.7357742,
0.7885864,
0.8519025,
0.9273311,
1.0177147,
1.1264567
],
[
0.42586,
0.4571161,
0.461998,
0.4678011,
0.4744111,
0.4815666,
0.489792,
0.4991838,
0.5095255,
0.5213448,
0.5342996,
0.5502019,
0.5678711,
0.5881969,
0.6117443,
0.639216,
0.6711918,
0.7089879,
0.7534139,
0.8065533,
0.8697993,
0.9446319,
1.0351664,
1.1439441
],
[
0.452613,
0.4841628,
0.4889555,
0.4944935,
0.5008782,
0.5085751,
0.5166839,
0.5259608,
0.5361914,
0.5482175,
0.5618542,
0.5773314,
0.5950104,
0.6154203,
0.6390688,
0.6664696,
0.6984369,
0.7362658,
0.7807086,
0.8337908,
0.8970007,
0.9721632,
1.0628091,
1.1716909
],
[
0.4939263,
0.525186,
0.5301594,
0.5360984,
0.542459,
0.5495968,
0.557786,
0.5663268,
0.576626,
0.5885785,
0.6021917,
0.6177946,
0.6357844,
0.6561235,
0.6796875,
0.7071623,
0.7391247,
0.7769482,
0.8214543,
0.8745122,
0.9377986,
1.0133479,
1.1034128,
1.2122141
],
[
0.5557876,
0.5870028,
0.5920074,
0.5977115,
0.6038787,
0.6109285,
0.6194013,
0.6286322,
0.6393125,
0.6512793,
0.6647842,
0.6802203,
0.6979234,
0.7184063,
0.7420357,
0.7693999,
0.8015011,
0.8389245,
0.8833761,
0.9365146,
0.9997838,
1.0750472,
1.1655314,
1.2742927
],
[
0.6493009,
0.6803506,
0.6852652,
0.6908483,
0.6971779,
0.7048473,
0.7130017,
0.7221269,
0.7327287,
0.7447374,
0.7582134,
0.7736323,
0.7913458,
0.8116856,
0.8352893,
0.8627346,
0.8945232,
0.9323851,
0.977028,
1.0298704,
1.0933148,
1.1690146,
1.2593073,
1.3674984
],
[
0.7904944,
0.8213457,
0.826254,
0.831831,
0.8381419,
0.8453082,
0.8534689,
0.8628052,
0.8732935,
0.8851613,
0.8986812,
0.9140322,
0.931908,
0.9523214,
0.9758805,
1.0033051,
1.0357756,
1.0734258,
1.118068,
1.1712231,
1.2343021,
1.3096708,
1.4005512,
1.5091208
],
[
1.0031526,
1.0339601,
1.0388675,
1.0445818,
1.0509221,
1.0580149,
1.0662534,
1.075629,
1.0858796,
1.0977372,
1.1112402,
1.1269713,
1.1446056,
1.164982,
1.1886573,
1.2161386,
1.2481494,
1.2860543,
1.3306674,
1.3837594,
1.4470861,
1.5226673,
1.6129547,
1.7218678
],
[
1.3227326,
1.3536983,
1.3587532,
1.3644478,
1.3703417,
1.3775626,
1.3856206,
1.3947883,
1.4054891,
1.4175832,
1.431129,
1.4465485,
1.4642688,
1.4846685,
1.5082848,
1.5358194,
1.5679542,
1.6057392,
1.6503546,
1.7035939,
1.7667491,
1.8422013,
1.9331015,
2.0417403
],
[
1.7946247,
1.8271539,
1.8325294,
1.8381602,
1.8445995,
1.8525197,
1.8606684,
1.8699451,
1.880569,
1.8925985,
1.9064044,
1.9219408,
1.9400084,
1.9604407,
1.9839805,
2.0114064,
2.0436559,
2.0813782,
2.1260165,
2.1792155,
2.2425486,
2.3182056,
2.4084077,
2.5170361
],
[
2.4557627,
2.4940958,
2.5000666,
2.5067706,
2.5143041,
2.5227256,
2.5320932,
2.5426294,
2.5534996,
2.5666935,
2.5815712,
2.5988771,
2.6184399,
2.6399065,
2.664085,
2.6923941,
2.725232,
2.7631049,
2.8080152,
2.8606972,
2.9239213,
2.999589,
3.0896117,
3.1979681
],
[
3.3814276,
3.4274299,
3.4345033,
3.4424481,
3.4501138,
3.4612546,
3.4719077,
3.4831968,
3.4966918,
3.5115799,
3.5281451,
3.5467922,
3.567401,
3.5901488,
3.6160488,
3.6454653,
3.6792063,
3.7185368,
3.7643257,
3.8179526,
3.8816399,
3.9562154,
4.046091,
4.1541952
]
]
},
"cell_rise,delay_template13x24": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.00953,
0.01144,
0.01373,
0.01648,
0.01978,
0.02373,
0.02848,
0.03418,
0.04102,
0.04922,
0.05907,
0.07088,
0.08506,
0.10207,
0.12248,
0.14698,
0.17638,
0.21165,
0.25398,
0.30478,
0.36574,
0.43889,
0.52667
],
"values": [
[
0.2391327,
0.2818289,
0.2893773,
0.2982361,
0.3086138,
0.3208079,
0.3350769,
0.3518678,
0.3720885,
0.3957996,
0.423637,
0.4571248,
0.4973704,
0.5450164,
0.6023023,
0.6701632,
0.7534852,
0.8504441,
0.9693749,
1.1099361,
1.2800203,
1.4839026,
1.7264604,
2.0189581
],
[
0.252964,
0.2951913,
0.3027148,
0.3117117,
0.3220282,
0.3342727,
0.348541,
0.3652685,
0.3852907,
0.4091048,
0.4371068,
0.4707096,
0.5109718,
0.5582058,
0.616439,
0.6842213,
0.7668532,
0.8647064,
0.9821811,
1.1246482,
1.29384,
1.4976782,
1.7413494,
2.0337303
],
[
0.2687407,
0.3114221,
0.3189928,
0.3278103,
0.3382069,
0.3503495,
0.3646609,
0.3813857,
0.4015518,
0.4253414,
0.4533168,
0.4868997,
0.5271175,
0.5743611,
0.6326569,
0.7003071,
0.783168,
0.8800963,
0.9997095,
1.1393486,
1.3104411,
1.5131784,
1.7564663,
2.0506117
],
[
0.2925478,
0.3356134,
0.34317,
0.352062,
0.3623478,
0.374654,
0.3889361,
0.4056861,
0.4256527,
0.4495539,
0.4775162,
0.5111137,
0.5512329,
0.5988668,
0.6568231,
0.7245294,
0.8077446,
0.9045389,
1.0225393,
1.1646301,
1.3350777,
1.5376497,
1.7827405,
2.0736468
],
[
0.3294954,
0.3719098,
0.3794378,
0.3881854,
0.3986564,
0.4109438,
0.4252041,
0.4418984,
0.4620139,
0.4857656,
0.5135761,
0.5471694,
0.5876511,
0.6352165,
0.6923797,
0.7616997,
0.8426958,
0.9414073,
1.0606412,
1.2004784,
1.3693963,
1.5732222,
1.8209801,
2.1109785
],
[
0.3836736,
0.4263527,
0.4338364,
0.4425388,
0.4527396,
0.4649219,
0.4791918,
0.4959201,
0.5157249,
0.5396483,
0.5676638,
0.6009925,
0.6414884,
0.6889151,
0.7461943,
0.8152494,
0.8969591,
0.9948131,
1.1127554,
1.2548862,
1.4262275,
1.6278225,
1.8754207,
2.1633342
],
[
0.4658822,
0.508394,
0.5159477,
0.5245913,
0.5349968,
0.5469346,
0.5612445,
0.577909,
0.5980075,
0.6213431,
0.6498527,
0.6831503,
0.7234712,
0.7711733,
0.8282995,
0.8971313,
0.9792465,
1.0786594,
1.1955331,
1.3376021,
1.5072029,
1.7119951,
1.9552227,
2.2471394
],
[
0.5866196,
0.6292469,
0.6366958,
0.6455537,
0.6559101,
0.6680966,
0.6821447,
0.6992025,
0.7188561,
0.7428417,
0.7707629,
0.8046167,
0.8445978,
0.8925491,
0.9496224,
1.01937,
1.1002552,
1.1993513,
1.3188391,
1.4587714,
1.6279066,
1.8317358,
2.0747448,
2.3676046
],
[
0.7545935,
0.7992401,
0.8068701,
0.8157434,
0.8261757,
0.8383791,
0.8527159,
0.8696298,
0.8896197,
0.9134331,
0.9413103,
0.9751658,
1.0148221,
1.0625743,
1.1199354,
1.189581,
1.2704502,
1.3695268,
1.4863434,
1.6284593,
1.7979492,
2.0013193,
2.2446429,
2.5399161
],
[
0.9814271,
1.0282633,
1.036207,
1.0454337,
1.0562302,
1.0688427,
1.0835184,
1.1007061,
1.1208169,
1.1447834,
1.1731345,
1.2065434,
1.2465573,
1.2944577,
1.3516709,
1.419972,
1.5029027,
1.6008054,
1.7179808,
1.8591351,
2.032124,
2.2316136,
2.4801545,
2.7687144
],
[
1.2889749,
1.3386615,
1.3472551,
1.3569151,
1.3681128,
1.381101,
1.3961414,
1.4136642,
1.4343781,
1.4585553,
1.4868362,
1.5209056,
1.5608644,
1.6093569,
1.6664762,
1.7352972,
1.8168964,
1.9148823,
2.0327446,
2.1741964,
2.3428923,
2.5483706,
2.7959435,
3.0908194
],
[
1.7071571,
1.7616313,
1.7707874,
1.781015,
1.7928131,
1.8066824,
1.8211215,
1.8395241,
1.8612001,
1.8857111,
1.9143338,
1.9483843,
1.9887485,
2.0366753,
2.094138,
2.162861,
2.2450839,
2.3436397,
2.4619766,
2.6029843,
2.7713805,
2.9743226,
3.2186001,
3.5147256
],
[
2.2756057,
2.3369748,
2.3467139,
2.3578066,
2.3699454,
2.3853726,
2.4022391,
2.4209614,
2.4426041,
2.4679336,
2.4973557,
2.5317555,
2.5723912,
2.6203866,
2.6777358,
2.7457312,
2.8284723,
2.926229,
3.0447038,
3.1858076,
3.3567999,
3.557967,
3.8047605,
4.092926
]
]
},
"fall_transition,delay_template13x24": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.00953,
0.01144,
0.01373,
0.01648,
0.01978,
0.02373,
0.02848,
0.03418,
0.04102,
0.04922,
0.05907,
0.07088,
0.08506,
0.10207,
0.12248,
0.14698,
0.17638,
0.21165,
0.25398,
0.30478,
0.36574,
0.43889,
0.52667
],
"values": [
[
0.060342,
0.0780489,
0.081606,
0.0863236,
0.0913545,
0.0964738,
0.1031264,
0.1098802,
0.118857,
0.1303275,
0.1430387,
0.158161,
0.1750492,
0.1962248,
0.2222704,
0.2526636,
0.2908137,
0.3363154,
0.3924246,
0.4603024,
0.5400119,
0.6391121,
0.7577109,
0.8993998
],
[
0.0605482,
0.0788728,
0.082333,
0.0865603,
0.0897518,
0.0967002,
0.1022841,
0.1104234,
0.1192464,
0.130089,
0.1425654,
0.1579233,
0.1755387,
0.1968548,
0.221934,
0.2539046,
0.2907868,
0.3358573,
0.3922396,
0.4591447,
0.5397947,
0.6391674,
0.7575566,
0.9002024
],
[
0.0602227,
0.0787631,
0.0826397,
0.0863328,
0.0910741,
0.0967495,
0.1016498,
0.10908,
0.1186895,
0.1301888,
0.1430367,
0.1581101,
0.1751295,
0.196405,
0.2223658,
0.2533387,
0.2906957,
0.3371525,
0.3913858,
0.457523,
0.5412211,
0.6389224,
0.7554487,
0.9001188
],
[
0.0603411,
0.0784566,
0.0821307,
0.0866459,
0.0912876,
0.096474,
0.102813,
0.1105817,
0.1194461,
0.1297411,
0.1418687,
0.157167,
0.1752494,
0.1971557,
0.2221748,
0.2539244,
0.2907874,
0.3363973,
0.3916097,
0.4575884,
0.5407285,
0.637511,
0.7545484,
0.8998556
],
[
0.0602478,
0.0787619,
0.0822998,
0.0863313,
0.0908816,
0.0964296,
0.1025994,
0.1104562,
0.1194006,
0.1302685,
0.1430708,
0.1581239,
0.1751032,
0.1964057,
0.2223082,
0.2534345,
0.2908259,
0.3367168,
0.3917588,
0.4591278,
0.5411295,
0.6391338,
0.7554969,
0.8987169
],
[
0.0601259,
0.0781419,
0.0818098,
0.0860125,
0.0909651,
0.0968579,
0.1030631,
0.1103957,
0.1188698,
0.130376,
0.1419856,
0.1574002,
0.1756469,
0.1966479,
0.2223506,
0.2532979,
0.2903801,
0.3372361,
0.3913956,
0.4575386,
0.5412573,
0.6389853,
0.7558512,
0.8999999
],
[
0.0605723,
0.07827,
0.0815377,
0.085587,
0.0902681,
0.0968691,
0.1032389,
0.1108004,
0.1191185,
0.130183,
0.1425405,
0.1580869,
0.175016,
0.1966411,
0.2220109,
0.2539418,
0.2908788,
0.3361297,
0.3922581,
0.4589414,
0.5396078,
0.638942,
0.7575204,
0.897693
],
[
0.0601545,
0.0795657,
0.0817421,
0.0856792,
0.0903562,
0.0956054,
0.1023456,
0.109715,
0.1197745,
0.130079,
0.1428161,
0.1579858,
0.175705,
0.1969282,
0.2226643,
0.2532389,
0.2915383,
0.3363907,
0.3922537,
0.460058,
0.5407836,
0.6391712,
0.7559495,
0.8998434
],
[
0.0602167,
0.0795393,
0.0830237,
0.0868927,
0.0916194,
0.097208,
0.1034019,
0.1107456,
0.1197126,
0.1306228,
0.1431408,
0.1578586,
0.1751037,
0.1972734,
0.2222163,
0.2540267,
0.2908812,
0.336439,
0.3918873,
0.457732,
0.5411054,
0.6391147,
0.7555873,
0.9007549
],
[
0.060288,
0.0795294,
0.0828853,
0.0868949,
0.0913817,
0.0967616,
0.1030953,
0.1102892,
0.1203247,
0.1304527,
0.1427647,
0.1583304,
0.1752399,
0.196873,
0.2226859,
0.2534283,
0.2911286,
0.3369917,
0.3923551,
0.4601203,
0.5408235,
0.6367129,
0.7566424,
0.8999454
],
[
0.0700764,
0.0864271,
0.0902208,
0.0931422,
0.0973976,
0.1023787,
0.1091569,
0.1157518,
0.1246592,
0.1350386,
0.1474314,
0.1615472,
0.1788182,
0.2000868,
0.2252369,
0.2550918,
0.2936598,
0.3379365,
0.3931585,
0.458317,
0.5415652,
0.6395501,
0.7569007,
0.8974586
],
[
0.0908209,
0.1076637,
0.1109195,
0.1146524,
0.1190158,
0.1240853,
0.1297091,
0.1364086,
0.1438569,
0.1530638,
0.1642629,
0.1777401,
0.1956816,
0.2146983,
0.237537,
0.2665874,
0.3013743,
0.3465153,
0.4002403,
0.4658914,
0.5464318,
0.6421054,
0.7599166,
0.9000901
],
[
0.1184247,
0.1367314,
0.1400601,
0.1436961,
0.1486418,
0.1535177,
0.1594565,
0.1668665,
0.1729156,
0.1819067,
0.1923541,
0.2076791,
0.2221764,
0.2406901,
0.2641959,
0.2906796,
0.3241165,
0.3654994,
0.416371,
0.4799937,
0.5562322,
0.6515176,
0.7672216,
0.9085956
]
]
},
"related_pin": "A",
"rise_transition,delay_template13x24": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.00953,
0.01144,
0.01373,
0.01648,
0.01978,
0.02373,
0.02848,
0.03418,
0.04102,
0.04922,
0.05907,
0.07088,
0.08506,
0.10207,
0.12248,
0.14698,
0.17638,
0.21165,
0.25398,
0.30478,
0.36574,
0.43889,
0.52667
],
"values": [
[
0.0562361,
0.0984295,
0.1067576,
0.1167039,
0.1286573,
0.143105,
0.160143,
0.1825956,
0.2067231,
0.2366577,
0.2743244,
0.3183081,
0.3705119,
0.4331588,
0.5102055,
0.6025854,
0.7115518,
0.8466536,
1.0016351,
1.1937723,
1.4236502,
1.6968179,
2.0283808,
2.4179718
],
[
0.0564382,
0.0994667,
0.1079321,
0.1168347,
0.1290868,
0.1433853,
0.160318,
0.1825344,
0.2074195,
0.2367092,
0.2728722,
0.3190828,
0.3697579,
0.4342286,
0.5105141,
0.6009749,
0.7138885,
0.8435312,
1.0055772,
1.1923412,
1.4227851,
1.6977706,
2.0260813,
2.4206979
],
[
0.0566653,
0.0984548,
0.1067112,
0.1166912,
0.1287837,
0.1434002,
0.1602349,
0.1827414,
0.2068347,
0.2366533,
0.2728284,
0.3178552,
0.3696591,
0.4350037,
0.5103803,
0.6022906,
0.713325,
0.8466768,
1.003097,
1.1945939,
1.4220626,
1.6956754,
2.0259217,
2.4190419
],
[
0.05628,
0.0992847,
0.1080821,
0.1182033,
0.1298962,
0.1434471,
0.1603949,
0.1822709,
0.2073996,
0.236634,
0.2728667,
0.3197695,
0.3695982,
0.4355516,
0.5100371,
0.6010091,
0.7133541,
0.8432823,
1.004858,
1.19235,
1.4220527,
1.6988057,
2.0260926,
2.4222144
],
[
0.0566276,
0.0995802,
0.1076417,
0.1181233,
0.1298335,
0.1434474,
0.1603796,
0.1834705,
0.2068501,
0.2365483,
0.2756277,
0.3167234,
0.3716966,
0.4330824,
0.5126206,
0.6023331,
0.7114344,
0.8457963,
1.0040206,
1.1966341,
1.425564,
1.6975342,
2.025093,
2.422937
],
[
0.05643,
0.0995974,
0.1077374,
0.1182089,
0.1301383,
0.1437166,
0.1604254,
0.1816942,
0.208243,
0.2369411,
0.2728203,
0.3184425,
0.3709249,
0.4331455,
0.5100468,
0.6021534,
0.7120534,
0.8471583,
1.0026887,
1.195495,
1.4249435,
1.6968082,
2.0272288,
2.4248896
],
[
0.056923,
0.0993636,
0.1071059,
0.1177246,
0.1287052,
0.1450497,
0.1608772,
0.1827518,
0.2064715,
0.2387411,
0.2734875,
0.3168334,
0.3728649,
0.4333035,
0.5091048,
0.6021708,
0.7110573,
0.8448605,
1.0053394,
1.1934841,
1.4238555,
1.7001833,
2.027801,
2.4206874
],
[
0.0578878,
0.0993818,
0.1081716,
0.1187529,
0.1296912,
0.1435737,
0.1620701,
0.1817198,
0.2086306,
0.2369854,
0.2756457,
0.3171704,
0.3720327,
0.4335118,
0.5093387,
0.6014581,
0.7128568,
0.8431444,
1.0032601,
1.1921646,
1.4253932,
1.6991751,
2.027067,
2.4247206
],
[
0.0619979,
0.1028754,
0.1108598,
0.1210805,
0.1321752,
0.1464803,
0.1645739,
0.1837069,
0.2092312,
0.2381644,
0.2767933,
0.3177474,
0.3709607,
0.4330885,
0.5096759,
0.6027862,
0.712043,
0.8451461,
1.0021282,
1.1975128,
1.4218425,
1.6969949,
2.0330386,
2.4237967
],
[
0.0697568,
0.1104881,
0.1182651,
0.127527,
0.138769,
0.1523291,
0.1696908,
0.1906808,
0.2134078,
0.2421436,
0.2788661,
0.3205736,
0.3746827,
0.4371793,
0.5132691,
0.6052402,
0.7131832,
0.8467814,
1.0027896,
1.1943749,
1.4225495,
1.7006088,
2.0237216,
2.4216178
],
[
0.0802672,
0.1203914,
0.128179,
0.1374992,
0.1486938,
0.1620338,
0.1779895,
0.1973096,
0.2209952,
0.2519012,
0.2843697,
0.3255901,
0.3800866,
0.4405797,
0.5160475,
0.6059552,
0.715039,
0.8481075,
1.0046398,
1.1941929,
1.4245706,
1.6993951,
2.0302153,
2.4230802
],
[
0.0963694,
0.1332401,
0.1411672,
0.1503734,
0.1614023,
0.1748225,
0.1901417,
0.2098019,
0.2325809,
0.2608671,
0.2934969,
0.3339714,
0.3871673,
0.4466336,
0.5240307,
0.610967,
0.7195568,
0.8522998,
1.0068835,
1.1989396,
1.4236442,
1.7000124,
2.0259365,
2.4238247
],
[
0.1209608,
0.1570033,
0.1637914,
0.1719345,
0.1812215,
0.1954088,
0.2098549,
0.2276307,
0.2487271,
0.2764498,
0.3092225,
0.3476043,
0.3965779,
0.4568853,
0.5314428,
0.6195402,
0.7255749,
0.8593153,
1.0140485,
1.2054097,
1.4326504,
1.7042365,
2.0329407,
2.4262561
]
]
},
"timing_sense": "positive_unate"
}
}
}