blob: 55d24f6bd30141a739d1df9cbe208cedaa70da12 [file] [log] [blame]
{
"area": 17.5824,
"cell_footprint": "sky130_fd_sc_ls__clkbuf",
"cell_leakage_power": 0.0003254136,
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"leakage_power": [
{
"value": 0.0003963,
"when": "A"
},
{
"value": 0.0002546,
"when": "!A"
}
],
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,A": {
"capacitance": 0.003519,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.003359,
"max_transition": 5.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.003679
},
"pin,X": {
"direction": "output",
"function": "(A)",
"internal_power": {
"fall_power,pwr_template13x24": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.481633,
2.222333,
3.333333,
5
],
"index_2": [
0,
0.00953,
0.01144,
0.01373,
0.01648,
0.01978,
0.02373,
0.02848,
0.03418,
0.04102,
0.04922,
0.05907,
0.07088,
0.08506,
0.10207,
0.12248,
0.14698,
0.17638,
0.21165,
0.25398,
0.30478,
0.36574,
0.43889,
0.52667
],
"values": [
[
0.0238676,
0.0174207,
0.0160636,
0.0143319,
0.0123253,
0.0098619,
0.0068828,
0.0033043,
-0.0011117,
-0.0064569,
-0.0130196,
-0.0208045,
-0.0303253,
-0.0418119,
-0.0556479,
-0.0722698,
-0.0922794,
-0.1163139,
-0.1451561,
-0.1798122,
-0.2214069,
-0.2713255,
-0.3312246,
-0.4031201
],
[
0.0239093,
0.0173523,
0.0159873,
0.0144163,
0.0122017,
0.0097823,
0.0069141,
0.0032776,
-0.0011163,
-0.0065589,
-0.013039,
-0.0208746,
-0.0303707,
-0.0418618,
-0.0556933,
-0.0722968,
-0.0922986,
-0.1163305,
-0.1451892,
-0.1798319,
-0.2214241,
-0.2713488,
-0.3312591,
-0.4031624
],
[
0.0238381,
0.0173246,
0.0159748,
0.0143986,
0.0123861,
0.0099277,
0.0068743,
0.0032319,
-0.0011483,
-0.0065855,
-0.0130745,
-0.020872,
-0.0303913,
-0.0418639,
-0.0556986,
-0.0723178,
-0.0923142,
-0.1163507,
-0.1452043,
-0.179839,
-0.2214412,
-0.2713599,
-0.3312778,
-0.4031779
],
[
0.023809,
0.0173208,
0.0159744,
0.0141917,
0.0122248,
0.0098314,
0.0068373,
0.0032288,
-0.0011792,
-0.0065293,
-0.0130631,
-0.0209122,
-0.0304173,
-0.0418833,
-0.0556961,
-0.0723253,
-0.0922989,
-0.1163494,
-0.1452148,
-0.1798609,
-0.2214397,
-0.2713739,
-0.3312624,
-0.4032023
],
[
0.0237741,
0.0172601,
0.0158861,
0.0142685,
0.0122552,
0.0097008,
0.00678,
0.0031539,
-0.0012389,
-0.0066584,
-0.0131297,
-0.0209691,
-0.030446,
-0.0419329,
-0.0557329,
-0.0723494,
-0.0923463,
-0.1163832,
-0.1452424,
-0.1798885,
-0.2214802,
-0.2714039,
-0.3313292,
-0.4032318
],
[
0.0237412,
0.0172166,
0.0158737,
0.0142257,
0.0121484,
0.009702,
0.0067424,
0.0030895,
-0.0012795,
-0.0067122,
-0.0131806,
-0.0210115,
-0.0304959,
-0.0419669,
-0.0557613,
-0.072382,
-0.0923901,
-0.1164121,
-0.1452712,
-0.1799144,
-0.2215215,
-0.2714326,
-0.3313544,
-0.4032558
],
[
0.023694,
0.0170896,
0.0158005,
0.0139875,
0.0119747,
0.0095439,
0.0065716,
0.0030148,
-0.0013772,
-0.006794,
-0.0132231,
-0.0210793,
-0.0305586,
-0.0420317,
-0.0558597,
-0.0724586,
-0.0924437,
-0.1164567,
-0.1452935,
-0.1799564,
-0.2215395,
-0.2714554,
-0.3313871,
-0.4032798
],
[
0.0236413,
0.0170335,
0.0157431,
0.0139212,
0.0121183,
0.0095586,
0.0065597,
0.0029243,
-0.0014633,
-0.0068151,
-0.0133463,
-0.0211869,
-0.0306733,
-0.0421376,
-0.0559328,
-0.0725456,
-0.0925201,
-0.1165431,
-0.1453789,
-0.1800248,
-0.2216228,
-0.2715235,
-0.3314486,
-0.4033262
],
[
0.0235514,
0.0170047,
0.0156369,
0.0139573,
0.0119542,
0.0094031,
0.0065475,
0.002792,
-0.0016026,
-0.0070065,
-0.0134814,
-0.0213134,
-0.0307615,
-0.042216,
-0.0560205,
-0.0726164,
-0.0926026,
-0.1166049,
-0.1454726,
-0.1801003,
-0.2216798,
-0.2715856,
-0.3315039,
-0.4034053
],
[
0.0234649,
0.0168878,
0.0155178,
0.0138442,
0.0117145,
0.0093933,
0.0062503,
0.0026446,
-0.0016825,
-0.0070747,
-0.0135672,
-0.0214494,
-0.0309259,
-0.042389,
-0.0561758,
-0.0727446,
-0.0927356,
-0.1167182,
-0.1455539,
-0.1801803,
-0.2217544,
-0.2716567,
-0.3315611,
-0.4034491
],
[
0.0233379,
0.0167888,
0.0153086,
0.0136589,
0.0114838,
0.0090664,
0.0060705,
0.0023948,
-0.0019077,
-0.0073677,
-0.0138423,
-0.0216911,
-0.0311601,
-0.0426224,
-0.0564029,
-0.0729615,
-0.0929166,
-0.1169075,
-0.1457036,
-0.1803156,
-0.2218629,
-0.2717614,
-0.3316514,
-0.403523
],
[
0.0231997,
0.0164839,
0.015143,
0.0134698,
0.0113875,
0.0089353,
0.0059064,
0.0022562,
-0.002156,
-0.0075884,
-0.0140689,
-0.0218948,
-0.0313904,
-0.0428163,
-0.0565751,
-0.0731523,
-0.0931042,
-0.1170799,
-0.1458624,
-0.180469,
-0.2220074,
-0.2718785,
-0.3317534,
-0.4036223
],
[
0.0229639,
0.0163119,
0.0148948,
0.0131754,
0.0111301,
0.0086205,
0.005772,
0.0020961,
-0.0024252,
-0.0077446,
-0.0142982,
-0.0221814,
-0.0316215,
-0.0430576,
-0.0568184,
-0.0733852,
-0.0933154,
-0.1172677,
-0.1460746,
-0.1806471,
-0.2221791,
-0.2720442,
-0.3319019,
-0.4037561
]
]
},
"related_pin": "A",
"rise_power,pwr_template13x24": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.1951667,
0.2927333,
0.4390667,
0.6585667,
0.9878,
1.481633,
2.222333,
3.333333,
5
],
"index_2": [
0,
0.00953,
0.01144,
0.01373,
0.01648,
0.01978,
0.02373,
0.02848,
0.03418,
0.04102,
0.04922,
0.05907,
0.07088,
0.08506,
0.10207,
0.12248,
0.14698,
0.17638,
0.21165,
0.25398,
0.30478,
0.36574,
0.43889,
0.52667
],
"values": [
[
0.0214693,
0.0297049,
0.0313722,
0.0333158,
0.0356267,
0.0383811,
0.0416937,
0.0455505,
0.0502502,
0.0558929,
0.0625801,
0.0706518,
0.080534,
0.0918624,
0.1055261,
0.1223875,
0.1421582,
0.1662676,
0.1947612,
0.2289327,
0.2703805,
0.3197304,
0.3788987,
0.4504176
],
[
0.0214616,
0.0297279,
0.0313373,
0.0333705,
0.0356896,
0.0382756,
0.0416083,
0.0455602,
0.050252,
0.0559385,
0.0626457,
0.0705286,
0.0803275,
0.091773,
0.1057267,
0.1223615,
0.1423242,
0.1660485,
0.1948208,
0.2290863,
0.2701627,
0.319895,
0.3792854,
0.4503674
],
[
0.0214628,
0.0297034,
0.031313,
0.0332633,
0.0355654,
0.0383554,
0.041565,
0.0455392,
0.0502668,
0.0558744,
0.0627121,
0.0705426,
0.0803673,
0.0922095,
0.1058186,
0.1221422,
0.1423998,
0.1660294,
0.194949,
0.2290787,
0.2704677,
0.3199389,
0.3791213,
0.4503333
],
[
0.0214415,
0.0296716,
0.0312779,
0.033284,
0.0354928,
0.0382271,
0.0415901,
0.0455271,
0.0502061,
0.0558566,
0.062484,
0.0704878,
0.080244,
0.0919599,
0.1058701,
0.1224307,
0.1423459,
0.1664392,
0.1946873,
0.2293824,
0.2701807,
0.3199141,
0.379642,
0.4503321
],
[
0.0214327,
0.0296535,
0.0312828,
0.0332118,
0.0355128,
0.0382996,
0.041596,
0.0455457,
0.050122,
0.0557945,
0.0626015,
0.0705026,
0.0801972,
0.091917,
0.1057977,
0.1224114,
0.1420216,
0.1658872,
0.1948291,
0.2289934,
0.2703881,
0.3198587,
0.3789623,
0.4504292
],
[
0.0214089,
0.0296278,
0.0312239,
0.0331445,
0.0355413,
0.0382084,
0.0415285,
0.0453838,
0.0501158,
0.0557239,
0.0625503,
0.0705944,
0.0802473,
0.0919235,
0.1056444,
0.1223724,
0.1421332,
0.1662131,
0.1949185,
0.2291264,
0.2702788,
0.3197186,
0.3791759,
0.4504501
],
[
0.0213229,
0.0295294,
0.0311443,
0.0330765,
0.0353581,
0.0380729,
0.0413781,
0.0453296,
0.0499381,
0.0555381,
0.0624542,
0.0703571,
0.0800613,
0.0915957,
0.1056006,
0.1223087,
0.1419998,
0.1658908,
0.1948218,
0.2291558,
0.2704663,
0.319764,
0.379247,
0.4505524
],
[
0.0211593,
0.0292998,
0.0309008,
0.0328059,
0.0351294,
0.037881,
0.0411207,
0.0450485,
0.0496986,
0.0554307,
0.0621024,
0.0701641,
0.0798462,
0.0915998,
0.1053765,
0.122031,
0.1418155,
0.1659963,
0.1944952,
0.2289863,
0.2699856,
0.3198653,
0.3790832,
0.4502315
],
[
0.021015,
0.0291637,
0.0307708,
0.032746,
0.0349903,
0.0377514,
0.0409934,
0.0449443,
0.0495689,
0.0552403,
0.0619087,
0.0700194,
0.0796474,
0.0911826,
0.1052104,
0.1217122,
0.1418043,
0.1657952,
0.1941373,
0.2289072,
0.2699275,
0.3196637,
0.3786864,
0.4501903
],
[
0.0208865,
0.0290021,
0.0305677,
0.0324958,
0.0348268,
0.0375169,
0.040806,
0.0447329,
0.0493681,
0.0550638,
0.0617908,
0.0698739,
0.0794852,
0.0909929,
0.105009,
0.1215134,
0.1416121,
0.1654967,
0.1942285,
0.2286517,
0.2698888,
0.3193393,
0.3787731,
0.4498146
],
[
0.0207826,
0.0288818,
0.0304669,
0.0324336,
0.0347226,
0.0374494,
0.0406323,
0.0446089,
0.0492169,
0.0548247,
0.0615665,
0.0696349,
0.0792041,
0.0908925,
0.1048159,
0.121387,
0.1414204,
0.1650744,
0.194096,
0.2281457,
0.2697137,
0.3191569,
0.3786362,
0.4498061
],
[
0.0206783,
0.0287858,
0.0303229,
0.0322049,
0.0345447,
0.037351,
0.0405855,
0.0444866,
0.0491067,
0.0546936,
0.0613623,
0.0693225,
0.0789662,
0.0904456,
0.1045861,
0.1212489,
0.1411429,
0.1651293,
0.1937924,
0.2282477,
0.2692453,
0.3189602,
0.3783155,
0.4496908
],
[
0.020907,
0.028923,
0.0304897,
0.0323756,
0.0347159,
0.0372096,
0.0404209,
0.0442842,
0.0489191,
0.0544517,
0.0612147,
0.0692883,
0.0787771,
0.090501,
0.1045099,
0.1210286,
0.1410057,
0.164809,
0.1935917,
0.2280567,
0.2691005,
0.3188624,
0.3781343,
0.4494073
]
]
}
},
"max_capacitance": 0.489817,
"max_transition": 5.368331,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": {
"cell_fall,delay_template13x24": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.00953,
0.01144,
0.01373,
0.01648,
0.01978,
0.02373,
0.02848,
0.03418,
0.04102,
0.04922,
0.05907,
0.07088,
0.08506,
0.10207,
0.12248,
0.14698,
0.17638,
0.21165,
0.25398,
0.30478,
0.36574,
0.43889,
0.52667
],
"values": [
[
0.7990507,
0.8511661,
0.8592214,
0.868142,
0.8783458,
0.8895147,
0.9026004,
0.9172294,
0.9336354,
0.952649,
0.9729815,
0.9972716,
1.024327,
1.0550948,
1.0903868,
1.1314721,
1.1792284,
1.2350635,
1.3010276,
1.3789112,
1.4721756,
1.582505,
1.7159668,
1.8742972
],
[
0.8127896,
0.8639686,
0.8718439,
0.8806802,
0.8904761,
0.9020846,
0.9156068,
0.9300084,
0.9466148,
0.9650399,
0.9860619,
1.0099385,
1.0370981,
1.0685438,
1.1038079,
1.1452858,
1.193063,
1.2491583,
1.3151007,
1.3931011,
1.4861793,
1.5965704,
1.7292688,
1.8886843
],
[
0.8353011,
0.887242,
0.8953928,
0.9044245,
0.915069,
0.9262057,
0.9394836,
0.9538219,
0.9700533,
0.9885912,
0.9998675,
1.0236414,
1.0507801,
1.0819322,
1.1175527,
1.1586687,
1.2064822,
1.2625265,
1.328085,
1.4061793,
1.4987478,
1.6091927,
1.7418204,
1.9012383
],
[
0.8574331,
0.90747,
0.9154522,
0.9243351,
0.942977,
0.9538819,
0.967236,
0.9817789,
0.9983131,
1.0166464,
1.0375465,
1.0612188,
1.0882607,
1.1195342,
1.1553576,
1.1963921,
1.2443213,
1.3001831,
1.3655537,
1.4434317,
1.5364607,
1.6475391,
1.7795182,
1.9380899
],
[
0.9004015,
0.9587222,
0.9668766,
0.9761083,
0.9866736,
0.9975856,
1.0107467,
1.0253716,
1.0418147,
1.0603469,
1.0812462,
1.1050002,
1.1320345,
1.1630692,
1.1993097,
1.2404161,
1.288212,
1.3442206,
1.4097706,
1.4876705,
1.5806582,
1.6909876,
1.8236918,
1.9831088
],
[
0.9737989,
1.0254779,
1.0337284,
1.0427065,
1.0530425,
1.0644053,
1.0776119,
1.0920139,
1.1082627,
1.1265624,
1.1474609,
1.1712499,
1.1983189,
1.2300357,
1.2659356,
1.3069306,
1.3548306,
1.4108086,
1.4766555,
1.5545448,
1.6475774,
1.7576147,
1.890293,
2.0494855
],
[
1.0733827,
1.1248286,
1.1328517,
1.1418707,
1.1520176,
1.1635625,
1.1765645,
1.1913887,
1.2077604,
1.2262183,
1.2470793,
1.2707956,
1.2975624,
1.328869,
1.3642856,
1.4056464,
1.4534892,
1.5093554,
1.5752439,
1.6531243,
1.7462632,
1.8566867,
1.9893434,
2.1484923
],
[
1.2240498,
1.2754789,
1.2837568,
1.2927727,
1.3034518,
1.3144347,
1.3273256,
1.3418657,
1.3584316,
1.3769452,
1.3977589,
1.4217937,
1.4491705,
1.479498,
1.515255,
1.5563955,
1.6042038,
1.6603509,
1.7261298,
1.8043309,
1.8969799,
2.0077937,
2.1409197,
2.2997833
],
[
1.4523071,
1.5036836,
1.5117465,
1.5208584,
1.531226,
1.5425811,
1.5555603,
1.5705162,
1.5866424,
1.6049365,
1.6259656,
1.64967,
1.6774188,
1.7085906,
1.7441536,
1.7852322,
1.8330926,
1.8886859,
1.9538788,
2.0317965,
2.1250497,
2.2358364,
2.3682134,
2.5276188
],
[
1.7939827,
1.8452705,
1.8533767,
1.8625309,
1.8724511,
1.8840152,
1.8970097,
1.9116599,
1.9285067,
1.9471396,
1.9680908,
1.9917421,
2.0182269,
2.0493245,
2.085075,
2.1262483,
2.1741053,
2.230274,
2.2960274,
2.374243,
2.4668325,
2.5779483,
2.7110809,
2.8697416
],
[
2.3084505,
2.3599391,
2.3680464,
2.3768276,
2.3872584,
2.3988402,
2.4115727,
2.4264193,
2.4429516,
2.4610567,
2.4820495,
2.5055846,
2.5327498,
2.5638804,
2.5995013,
2.6409226,
2.6886968,
2.7445666,
2.8107454,
2.8888758,
2.9816054,
3.0929155,
3.2258176,
3.3844205
],
[
3.082985,
3.1329475,
3.1425547,
3.151422,
3.1620491,
3.1733576,
3.186337,
3.2010341,
3.2171694,
3.2356615,
3.2564322,
3.2802638,
3.307167,
3.3382934,
3.3745324,
3.415598,
3.4634366,
3.5193137,
3.5855006,
3.6634824,
3.7566212,
3.8675618,
3.9995212,
4.1590376
],
[
4.2466017,
4.2982484,
4.3063699,
4.3153841,
4.3257038,
4.3372501,
4.3502597,
4.364844,
4.3811856,
4.3997206,
4.4204018,
4.4442081,
4.4713353,
4.5024175,
4.5382063,
4.5794817,
4.6277289,
4.683832,
4.7495514,
4.8278443,
4.920881,
5.0316302,
5.1639182,
5.3234133
]
]
},
"cell_rise,delay_template13x24": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.00953,
0.01144,
0.01373,
0.01648,
0.01978,
0.02373,
0.02848,
0.03418,
0.04102,
0.04922,
0.05907,
0.07088,
0.08506,
0.10207,
0.12248,
0.14698,
0.17638,
0.21165,
0.25398,
0.30478,
0.36574,
0.43889,
0.52667
],
"values": [
[
0.3915926,
0.4742301,
0.4896146,
0.5077878,
0.5292794,
0.5546184,
0.5840995,
0.6196934,
0.6625668,
0.7133345,
0.7740313,
0.8463044,
0.9335546,
1.0383123,
1.1606751,
1.3112215,
1.4895474,
1.7024837,
1.9601305,
2.2681999,
2.6344695,
3.0765193,
3.6115289,
4.2465702
],
[
0.4072144,
0.4893149,
0.5044722,
0.5221582,
0.5434744,
0.568782,
0.5993656,
0.6352386,
0.6776343,
0.7280813,
0.7889668,
0.8627415,
0.9481906,
1.0514131,
1.1764745,
1.3242237,
1.5050107,
1.715751,
1.9755062,
2.2815305,
2.6532447,
3.095086,
3.6220436,
4.2635735
],
[
0.4248332,
0.5072234,
0.5224549,
0.5406455,
0.5619321,
0.587825,
0.6178392,
0.6535069,
0.6959196,
0.746622,
0.807338,
0.8811893,
0.9669008,
1.071248,
1.1958416,
1.3444122,
1.5223557,
1.737764,
1.9921347,
2.2994824,
2.6703846,
3.1129539,
3.6442755,
4.2811008
],
[
0.4513345,
0.5337341,
0.5490001,
0.5673009,
0.5883646,
0.6135205,
0.6435746,
0.6800233,
0.722261,
0.7729034,
0.8347145,
0.9066045,
0.9928294,
1.0963489,
1.2217278,
1.370704,
1.5508113,
1.7634935,
2.019676,
2.3280351,
2.6946461,
3.1395888,
3.6717349,
4.3067976
],
[
0.4910947,
0.5735762,
0.5888479,
0.6064612,
0.6282697,
0.6534082,
0.682962,
0.718945,
0.7622707,
0.8121834,
0.8729024,
0.9462175,
1.032226,
1.1356167,
1.2607653,
1.4106004,
1.5887831,
1.8027413,
2.0610731,
2.3683535,
2.733616,
3.1823215,
3.7116195,
4.346365
],
[
0.5504988,
0.6330514,
0.6481704,
0.6659889,
0.6872054,
0.7126713,
0.7425879,
0.779035,
0.8208917,
0.8725122,
0.9330307,
1.0050057,
1.092025,
1.1949453,
1.3198376,
1.468641,
1.6474172,
1.8604757,
2.1185509,
2.4298905,
2.7967586,
3.2433944,
3.7704617,
4.4069077
],
[
0.6404186,
0.7227479,
0.7379538,
0.7558859,
0.7769923,
0.8018535,
0.831801,
0.867364,
0.910298,
0.9607599,
1.0224058,
1.0937042,
1.180813,
1.2844315,
1.4086733,
1.5602346,
1.7354939,
1.9538473,
2.2068678,
2.515246,
2.8857279,
3.3325012,
3.8579282,
4.5010382
],
[
0.7751725,
0.8567129,
0.8717478,
0.8894309,
0.9107599,
0.935954,
0.9663941,
1.0016571,
1.0439195,
1.0956136,
1.1554712,
1.228145,
1.3163636,
1.4185245,
1.5427907,
1.6919928,
1.8713346,
2.0846809,
2.3413271,
2.6484441,
3.0181533,
3.4687833,
3.9910533,
4.6412816
],
[
0.9781654,
1.0600076,
1.0752095,
1.0935118,
1.1141672,
1.1401394,
1.1695248,
1.2058076,
1.2477694,
1.2984479,
1.3592335,
1.43177,
1.5191165,
1.6231031,
1.7468533,
1.8962156,
2.0745177,
2.2882256,
2.5450695,
2.8547948,
3.2235932,
3.6673116,
4.1976551,
4.8360844
],
[
1.2739366,
1.3566899,
1.3717052,
1.3893772,
1.4111537,
1.4359679,
1.4663095,
1.5013782,
1.5445264,
1.5948345,
1.6553834,
1.7277061,
1.8146565,
1.9182205,
2.0446076,
2.1918345,
2.3713527,
2.5860509,
2.8425953,
3.1509488,
3.5191363,
3.9608423,
4.4947974,
5.1335469
],
[
1.6874041,
1.7723076,
1.7876867,
1.806375,
1.8277494,
1.8526829,
1.8826862,
1.9186794,
1.961066,
2.0124598,
2.071761,
2.1449669,
2.2307836,
2.3355906,
2.458749,
2.6086338,
2.7913056,
2.999814,
3.2564675,
3.564619,
3.9350376,
4.3799231,
4.9104845,
5.5533826
],
[
2.2613389,
2.3504019,
2.3661897,
2.3846167,
2.4067026,
2.432504,
2.4627365,
2.4982916,
2.5411657,
2.5925984,
2.6527771,
2.7254721,
2.8110576,
2.9143599,
3.0390686,
3.1871383,
3.3669929,
3.5800642,
3.8366728,
4.1485007,
4.5139199,
4.9541526,
5.4854161,
6.1254739
],
[
3.060654,
3.1546166,
3.1710139,
3.1901368,
3.2124949,
3.2386491,
3.2692322,
3.305707,
3.3490097,
3.3998298,
3.4609704,
3.533851,
3.6195522,
3.7236114,
3.8475632,
3.9947503,
4.1721138,
4.3855293,
4.6418953,
4.9513869,
5.3195675,
5.7736309,
6.2910157,
6.9274368
]
]
},
"fall_transition,delay_template13x24": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.00953,
0.01144,
0.01373,
0.01648,
0.01978,
0.02373,
0.02848,
0.03418,
0.04102,
0.04922,
0.05907,
0.07088,
0.08506,
0.10207,
0.12248,
0.14698,
0.17638,
0.21165,
0.25398,
0.30478,
0.36574,
0.43889,
0.52667
],
"values": [
[
0.1254751,
0.1525341,
0.15761,
0.1622901,
0.1697799,
0.1777835,
0.1845323,
0.1971891,
0.2094462,
0.2236166,
0.2408524,
0.2632732,
0.2881835,
0.3169946,
0.3543747,
0.3984756,
0.4515668,
0.5173714,
0.594746,
0.6928169,
0.8105986,
0.953593,
1.1248968,
1.3294056
],
[
0.1271754,
0.1527566,
0.1575192,
0.1617465,
0.1683301,
0.1757862,
0.1840481,
0.1969706,
0.2090357,
0.2231719,
0.2416693,
0.2630309,
0.288113,
0.3169869,
0.3533008,
0.3987521,
0.4513467,
0.5164217,
0.5950218,
0.6933757,
0.8107131,
0.9544053,
1.1248366,
1.332504
],
[
0.1269945,
0.1526219,
0.1574944,
0.1636983,
0.1694234,
0.1774037,
0.185466,
0.1969537,
0.2090748,
0.2233901,
0.2407155,
0.2632755,
0.2882218,
0.3165347,
0.3532973,
0.3986221,
0.451151,
0.5167501,
0.5966617,
0.6927071,
0.8110623,
0.9542386,
1.1225443,
1.3313057
],
[
0.1255389,
0.15282,
0.1560597,
0.1617679,
0.1680278,
0.1770972,
0.1867028,
0.1945047,
0.2096961,
0.2239885,
0.2406431,
0.2627105,
0.2878469,
0.3163367,
0.3543359,
0.396914,
0.4524709,
0.5166255,
0.5963636,
0.6925886,
0.8107493,
0.9526353,
1.1254662,
1.3300958
],
[
0.1255384,
0.1528029,
0.1577856,
0.1612147,
0.1701381,
0.1779211,
0.1845036,
0.1970879,
0.2095807,
0.2236269,
0.2410004,
0.2628147,
0.2880362,
0.3170793,
0.3530995,
0.3985983,
0.4511057,
0.5167274,
0.5964289,
0.6930338,
0.810969,
0.954225,
1.1214186,
1.3323597
],
[
0.1254765,
0.1519183,
0.1571558,
0.1636065,
0.1698717,
0.1774241,
0.1841964,
0.196949,
0.2090091,
0.2234122,
0.2414357,
0.2630098,
0.2880498,
0.3168744,
0.3544415,
0.3964118,
0.4515719,
0.5154505,
0.5949663,
0.6934294,
0.809587,
0.9543969,
1.1227782,
1.332534
],
[
0.1271952,
0.1533808,
0.1564284,
0.1619447,
0.1683948,
0.1759992,
0.1849257,
0.1964932,
0.2097621,
0.2234967,
0.2413329,
0.2631869,
0.2881035,
0.3173639,
0.3553468,
0.3963304,
0.4521417,
0.5162852,
0.5976623,
0.6935298,
0.8102551,
0.9544516,
1.1228262,
1.3310947
],
[
0.127158,
0.1532454,
0.1563154,
0.1618695,
0.1698392,
0.1757421,
0.1846332,
0.1972721,
0.2092403,
0.2240518,
0.2408172,
0.2627177,
0.2854079,
0.3181304,
0.3543696,
0.3989225,
0.4514125,
0.5168561,
0.5958055,
0.6930042,
0.8093229,
0.9529633,
1.1242776,
1.3344424
],
[
0.1257828,
0.1525076,
0.1576574,
0.163012,
0.1687819,
0.1762242,
0.1868944,
0.1970969,
0.2099291,
0.2241483,
0.2410322,
0.2629466,
0.2880693,
0.3167059,
0.3537522,
0.3981654,
0.4507062,
0.5164353,
0.5959198,
0.6934516,
0.8095998,
0.9518224,
1.1213411,
1.3325021
],
[
0.125795,
0.152224,
0.1568533,
0.1625769,
0.1686805,
0.1780467,
0.1869118,
0.1974162,
0.2101966,
0.2250394,
0.2423989,
0.2612307,
0.2881783,
0.3179436,
0.3544938,
0.3990357,
0.4513011,
0.5174268,
0.5954353,
0.692456,
0.8117406,
0.9529676,
1.1251133,
1.3330316
],
[
0.1258017,
0.1529247,
0.1587951,
0.1626057,
0.1689623,
0.1762009,
0.1850524,
0.196413,
0.210446,
0.2241796,
0.2424507,
0.2634531,
0.2884461,
0.3177166,
0.353447,
0.3978549,
0.4523704,
0.5170246,
0.5948186,
0.6933911,
0.8101571,
0.9511196,
1.1244771,
1.3308161
],
[
0.1260305,
0.1538847,
0.1587386,
0.1626717,
0.1709454,
0.1766246,
0.1855453,
0.1971812,
0.2104413,
0.2243887,
0.2419762,
0.2637512,
0.2886176,
0.3176314,
0.3543492,
0.3988123,
0.4517226,
0.517029,
0.5947437,
0.692618,
0.810628,
0.9525087,
1.1256419,
1.3321079
],
[
0.129218,
0.1553437,
0.1597003,
0.1648573,
0.1712679,
0.1788268,
0.1895552,
0.2001221,
0.2128169,
0.2263658,
0.2443308,
0.2648873,
0.2895518,
0.3219779,
0.3563007,
0.4005498,
0.4512007,
0.5178819,
0.5965652,
0.6928894,
0.8106139,
0.9518226,
1.1260121,
1.3308019
]
]
},
"related_pin": "A",
"rise_transition,delay_template13x24": {
"index_1": [
0.0333333,
0.0578333,
0.0867333,
0.1301,
0.195167,
0.292733,
0.439067,
0.658567,
0.9878,
1.48163,
2.22233,
3.33333,
5
],
"index_2": [
0,
0.00953,
0.01144,
0.01373,
0.01648,
0.01978,
0.02373,
0.02848,
0.03418,
0.04102,
0.04922,
0.05907,
0.07088,
0.08506,
0.10207,
0.12248,
0.14698,
0.17638,
0.21165,
0.25398,
0.30478,
0.36574,
0.43889,
0.52667
],
"values": [
[
0.1125895,
0.2053829,
0.2241318,
0.246583,
0.2736063,
0.3058977,
0.3479354,
0.3915248,
0.4479555,
0.5156073,
0.5979695,
0.6950524,
0.8157732,
0.954786,
1.1245167,
1.328925,
1.5701261,
1.8644015,
2.2129469,
2.6378122,
3.1431657,
3.7481884,
4.4779775,
5.3548423
],
[
0.1131273,
0.2063658,
0.2247996,
0.2491019,
0.2761869,
0.3057187,
0.3448478,
0.392137,
0.4482459,
0.5158051,
0.5996514,
0.6955136,
0.8160119,
0.954444,
1.1227069,
1.326956,
1.5694028,
1.8641111,
2.2151885,
2.6357843,
3.1499821,
3.7474104,
4.4755528,
5.3509788
],
[
0.1124997,
0.2052644,
0.2255096,
0.2482279,
0.273647,
0.306058,
0.3448226,
0.3918815,
0.4482344,
0.5173766,
0.5977335,
0.6951496,
0.8126771,
0.95426,
1.122516,
1.3267086,
1.5712741,
1.8683879,
2.2131423,
2.6398415,
3.1403016,
3.7586574,
4.4838648,
5.3505036
],
[
0.1128826,
0.2052551,
0.2240259,
0.2463401,
0.2733898,
0.3057294,
0.3468469,
0.3921053,
0.4482379,
0.517486,
0.5979983,
0.6953607,
0.8166017,
0.9538028,
1.1228197,
1.327998,
1.5691963,
1.8625431,
2.2151396,
2.6404425,
3.14121,
3.7474059,
4.4864299,
5.3626312
],
[
0.1125762,
0.2058161,
0.224179,
0.247965,
0.2736962,
0.3059011,
0.3477106,
0.3919092,
0.4479638,
0.5156691,
0.5985925,
0.6953251,
0.8161148,
0.9534876,
1.1227269,
1.3272514,
1.5694006,
1.8641606,
2.2129407,
2.6356186,
3.1423999,
3.7579828,
4.4757278,
5.3485105
],
[
0.1138241,
0.2053849,
0.2240019,
0.2463593,
0.276186,
0.3070495,
0.3451807,
0.3919115,
0.447843,
0.5156176,
0.5981207,
0.6949087,
0.8145521,
0.9540692,
1.1222405,
1.3284733,
1.5692914,
1.8644466,
2.2130451,
2.6378448,
3.1401493,
3.7505945,
4.4748742,
5.3514491
],
[
0.1129902,
0.2057803,
0.2241711,
0.2466842,
0.2734522,
0.3057536,
0.3472934,
0.3922568,
0.4483821,
0.5156129,
0.5979531,
0.6952304,
0.8159068,
0.9538079,
1.1262328,
1.326394,
1.56915,
1.8625834,
2.2204762,
2.6365916,
3.1409004,
3.7475583,
4.4800226,
5.3683311
],
[
0.112596,
0.2054918,
0.22407,
0.2464626,
0.2753792,
0.3061212,
0.3450298,
0.391754,
0.4478614,
0.5175123,
0.5974784,
0.6947173,
0.8138855,
0.9536536,
1.1251878,
1.3260635,
1.5694381,
1.8657409,
2.2126232,
2.6379317,
3.139246,
3.7525378,
4.4734327,
5.3510316
],
[
0.1131927,
0.2072968,
0.2249773,
0.2466581,
0.2754709,
0.3063591,
0.3447526,
0.3920554,
0.4482662,
0.5183575,
0.5979996,
0.6947297,
0.8141945,
0.9533524,
1.1251544,
1.3258599,
1.5695912,
1.8651938,
2.2139568,
2.6405123,
3.1412686,
3.7617354,
4.4800732,
5.3474176
],
[
0.1149066,
0.2065246,
0.2248773,
0.2486566,
0.2741899,
0.3084794,
0.3456583,
0.3953674,
0.4482244,
0.5198135,
0.5973546,
0.694712,
0.8133064,
0.9532184,
1.1224641,
1.3281691,
1.5707015,
1.8643236,
2.2140441,
2.6370826,
3.148946,
3.7470915,
4.4791291,
5.3477742
],
[
0.1238555,
0.2135617,
0.2316846,
0.2529889,
0.2793352,
0.3125132,
0.3501848,
0.394561,
0.4509848,
0.5178698,
0.6011083,
0.6959023,
0.8129416,
0.9555252,
1.123298,
1.3255943,
1.5723168,
1.8625218,
2.2166986,
2.6342071,
3.1447089,
3.7460697,
4.4803206,
5.35089
],
[
0.1370103,
0.2264717,
0.2441969,
0.265144,
0.2891991,
0.3202045,
0.3577363,
0.4058799,
0.4587451,
0.5251912,
0.6042566,
0.700937,
0.8183872,
0.9569847,
1.127438,
1.3286336,
1.5746348,
1.8641448,
2.2167219,
2.639263,
3.1402707,
3.7547632,
4.4749151,
5.3575975
],
[
0.1564202,
0.2411731,
0.2583294,
0.280918,
0.3062442,
0.3365712,
0.3724842,
0.4162884,
0.4699345,
0.5393351,
0.6156581,
0.7106417,
0.8305481,
0.9654667,
1.1330228,
1.3328368,
1.57575,
1.8665662,
2.216973,
2.6429359,
3.143374,
3.7610639,
4.4768078,
5.3605085
]
]
},
"timing_sense": "positive_unate"
}
}
}