blob: ade23e086d5f2cf85cf5c8b1e57d066fd7470e85 [file] [log] [blame]
# Copyright 2020 The SkyWater PDK Authors
#
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
# You may obtain a copy of the License at
#
# https://www.apache.org/licenses/LICENSE-2.0
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS,
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
# See the License for the specific language governing permissions and
# limitations under the License.
#
# SPDX-License-Identifier: Apache-2.0
VERSION 5.7 ;
NOWIREEXTENSIONATPIN ON ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
MACRO sky130_fd_sc_ls__einvp_2
CLASS CORE ;
FOREIGN sky130_fd_sc_ls__einvp_2 ;
ORIGIN 0.000000 0.000000 ;
SIZE 3.360000 BY 3.330000 ;
SYMMETRY X Y ;
SITE unit ;
PIN A
ANTENNAGATEAREA 0.558000 ;
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 0.105000 1.180000 0.435000 1.550000 ;
END
END A
PIN TE
ANTENNAGATEAREA 0.381000 ;
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 2.480000 0.260000 2.810000 0.670000 ;
END
END TE
PIN VNB
PORT
LAYER pwell ;
RECT 0.000000 0.000000 3.360000 0.245000 ;
END
END VNB
PIN VPB
PORT
LAYER nwell ;
RECT -0.190000 1.660000 3.550000 3.520000 ;
END
END VPB
PIN Z
ANTENNADIFFAREA 0.543200 ;
DIRECTION OUTPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 0.605000 1.180000 0.920000 2.735000 ;
RECT 0.670000 0.625000 0.920000 1.180000 ;
END
END Z
PIN VGND
DIRECTION INOUT ;
SHAPE ABUTMENT ;
USE GROUND ;
PORT
LAYER met1 ;
RECT 0.000000 -0.245000 3.360000 0.245000 ;
END
END VGND
PIN VPWR
DIRECTION INOUT ;
SHAPE ABUTMENT ;
USE POWER ;
PORT
LAYER met1 ;
RECT 0.000000 3.085000 3.360000 3.575000 ;
END
END VPWR
OBS
LAYER li1 ;
RECT 0.000000 -0.085000 3.360000 0.085000 ;
RECT 0.000000 3.245000 3.360000 3.415000 ;
RECT 0.115000 1.820000 0.365000 2.905000 ;
RECT 0.115000 2.905000 1.265000 3.075000 ;
RECT 0.160000 0.255000 1.270000 0.425000 ;
RECT 0.160000 0.425000 0.490000 1.010000 ;
RECT 1.095000 1.480000 2.165000 1.650000 ;
RECT 1.095000 1.650000 1.265000 2.905000 ;
RECT 1.100000 0.425000 1.270000 1.140000 ;
RECT 1.100000 1.140000 2.210000 1.310000 ;
RECT 1.450000 0.085000 1.780000 0.970000 ;
RECT 1.465000 1.820000 1.715000 3.245000 ;
RECT 1.915000 1.650000 2.165000 2.980000 ;
RECT 1.950000 0.350000 2.210000 1.140000 ;
RECT 2.415000 0.840000 2.800000 1.140000 ;
RECT 2.415000 1.140000 2.745000 2.980000 ;
RECT 2.915000 2.300000 3.245000 3.245000 ;
RECT 2.980000 0.085000 3.245000 1.010000 ;
LAYER mcon ;
RECT 0.155000 -0.085000 0.325000 0.085000 ;
RECT 0.155000 3.245000 0.325000 3.415000 ;
RECT 0.635000 -0.085000 0.805000 0.085000 ;
RECT 0.635000 3.245000 0.805000 3.415000 ;
RECT 1.115000 -0.085000 1.285000 0.085000 ;
RECT 1.115000 3.245000 1.285000 3.415000 ;
RECT 1.595000 -0.085000 1.765000 0.085000 ;
RECT 1.595000 3.245000 1.765000 3.415000 ;
RECT 2.075000 -0.085000 2.245000 0.085000 ;
RECT 2.075000 3.245000 2.245000 3.415000 ;
RECT 2.555000 -0.085000 2.725000 0.085000 ;
RECT 2.555000 3.245000 2.725000 3.415000 ;
RECT 3.035000 -0.085000 3.205000 0.085000 ;
RECT 3.035000 3.245000 3.205000 3.415000 ;
END
END sky130_fd_sc_ls__einvp_2
END LIBRARY