blob: b20c3e0ac25ada533eeae327eae117daafbad173 [file] [log] [blame]
{
"description": "connect vpr to virtpwr when not in sleep mode.",
"file_prefix": "sky130_fd_sc_lp__sleep_sergate_plv",
"library": "sky130_fd_sc_lp",
"name": "sleep_sergate_plv",
"parameters": [],
"ports": [
[
"signal",
"VIRTPWR",
"output",
""
],
[
"signal",
"SLEEP",
"input",
""
],
[
"power",
"VPWR",
"input",
"supply1"
],
[
"power",
"VPB",
"input",
"supply1"
],
[
"power",
"VNB",
"input",
"supply0"
]
],
"type": "cell",
"verilog_name": "sky130_fd_sc_lp__sleep_sergate_plv"
}