blob: 3293c5c2796a7d693615f5fdc31832226e2ed7fd [file] [log] [blame]
# Copyright 2020 The SkyWater PDK Authors
#
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
# You may obtain a copy of the License at
#
# https://www.apache.org/licenses/LICENSE-2.0
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS,
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
# See the License for the specific language governing permissions and
# limitations under the License.
#
# SPDX-License-Identifier: Apache-2.0
VERSION 5.7 ;
NAMESCASESENSITIVE ON ;
NOWIREEXTENSIONATPIN ON ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
UNITS
DATABASE MICRONS 200 ;
END UNITS
MACRO sky130_fd_sc_lp__o21a_0
CLASS CORE ;
SOURCE USER ;
FOREIGN sky130_fd_sc_lp__o21a_0 ;
ORIGIN 0.000000 0.000000 ;
SIZE 2.880000 BY 3.330000 ;
SYMMETRY X Y R90 ;
SITE unit ;
PIN A1
ANTENNAGATEAREA 0.159000 ;
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 2.010000 1.175000 2.555000 2.225000 ;
END
END A1
PIN A2
ANTENNAGATEAREA 0.159000 ;
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 1.595000 1.175000 1.840000 2.970000 ;
END
END A2
PIN B1
ANTENNAGATEAREA 0.159000 ;
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 0.995000 1.125000 1.365000 1.795000 ;
END
END B1
PIN X
ANTENNADIFFAREA 0.280900 ;
DIRECTION OUTPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 0.085000 0.275000 0.400000 0.605000 ;
RECT 0.085000 0.605000 0.335000 2.395000 ;
RECT 0.085000 2.395000 0.565000 3.075000 ;
END
END X
PIN VGND
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER li1 ;
RECT 0.000000 -0.085000 2.880000 0.085000 ;
RECT 0.570000 0.085000 0.855000 0.605000 ;
RECT 1.935000 0.085000 2.205000 0.665000 ;
LAYER mcon ;
RECT 0.155000 -0.085000 0.325000 0.085000 ;
RECT 0.635000 -0.085000 0.805000 0.085000 ;
RECT 1.115000 -0.085000 1.285000 0.085000 ;
RECT 1.595000 -0.085000 1.765000 0.085000 ;
RECT 2.075000 -0.085000 2.245000 0.085000 ;
RECT 2.555000 -0.085000 2.725000 0.085000 ;
LAYER met1 ;
RECT 0.000000 -0.245000 2.880000 0.245000 ;
END
END VGND
PIN VPWR
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER li1 ;
RECT 0.000000 3.245000 2.880000 3.415000 ;
RECT 0.735000 2.395000 1.005000 3.245000 ;
RECT 2.020000 2.395000 2.350000 3.245000 ;
LAYER mcon ;
RECT 0.155000 3.245000 0.325000 3.415000 ;
RECT 0.635000 3.245000 0.805000 3.415000 ;
RECT 1.115000 3.245000 1.285000 3.415000 ;
RECT 1.595000 3.245000 1.765000 3.415000 ;
RECT 2.075000 3.245000 2.245000 3.415000 ;
RECT 2.555000 3.245000 2.725000 3.415000 ;
LAYER met1 ;
RECT 0.000000 3.085000 2.880000 3.575000 ;
END
END VPWR
OBS
LAYER li1 ;
RECT 0.505000 0.775000 1.345000 0.955000 ;
RECT 0.505000 0.955000 0.755000 2.045000 ;
RECT 0.505000 2.045000 1.425000 2.215000 ;
RECT 1.105000 0.335000 1.345000 0.775000 ;
RECT 1.175000 2.215000 1.425000 3.075000 ;
RECT 1.515000 0.335000 1.765000 0.835000 ;
RECT 1.515000 0.835000 2.665000 1.005000 ;
RECT 2.375000 0.335000 2.665000 0.835000 ;
END
END sky130_fd_sc_lp__o21a_0