blob: fb29317d31b993fa05760ab87fc162b3a4e6ee61 [file] [log] [blame]
# Copyright 2020 The SkyWater PDK Authors
#
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
# You may obtain a copy of the License at
#
# https://www.apache.org/licenses/LICENSE-2.0
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS,
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
# See the License for the specific language governing permissions and
# limitations under the License.
#
# SPDX-License-Identifier: Apache-2.0
VERSION 5.7 ;
NOWIREEXTENSIONATPIN ON ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
MACRO sky130_fd_sc_lp__and2b_2
CLASS CORE ;
FOREIGN sky130_fd_sc_lp__and2b_2 ;
ORIGIN 0.000000 0.000000 ;
SIZE 3.360000 BY 3.330000 ;
SYMMETRY X Y R90 ;
SITE unit ;
PIN A_N
ANTENNAGATEAREA 0.126000 ;
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 0.440000 1.185000 0.920000 1.750000 ;
END
END A_N
PIN B
ANTENNAGATEAREA 0.126000 ;
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 1.945000 1.200000 2.735000 1.455000 ;
END
END B
PIN X
ANTENNADIFFAREA 0.588000 ;
DIRECTION OUTPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 1.090000 0.875000 1.560000 1.125000 ;
RECT 1.090000 1.125000 1.315000 3.075000 ;
END
END X
PIN VGND
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met1 ;
RECT 0.000000 -0.245000 3.360000 0.245000 ;
END
END VGND
PIN VNB
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER pwell ;
RECT 0.000000 0.000000 3.360000 0.245000 ;
END
END VNB
PIN VPB
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER nwell ;
RECT -0.190000 1.655000 3.550000 3.520000 ;
END
END VPB
PIN VPWR
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met1 ;
RECT 0.000000 3.085000 3.360000 3.575000 ;
END
END VPWR
OBS
LAYER li1 ;
RECT 0.000000 -0.085000 3.360000 0.085000 ;
RECT 0.000000 3.245000 3.360000 3.415000 ;
RECT 0.090000 0.535000 2.815000 0.555000 ;
RECT 0.090000 0.555000 2.430000 0.705000 ;
RECT 0.090000 0.705000 0.520000 1.015000 ;
RECT 0.090000 1.015000 0.260000 1.920000 ;
RECT 0.090000 1.920000 0.430000 2.210000 ;
RECT 0.600000 1.920000 0.920000 3.245000 ;
RECT 0.720000 0.085000 1.050000 0.365000 ;
RECT 1.485000 1.345000 1.735000 1.625000 ;
RECT 1.485000 1.625000 3.160000 1.795000 ;
RECT 1.485000 1.965000 2.080000 3.245000 ;
RECT 1.740000 0.085000 2.070000 0.365000 ;
RECT 2.250000 1.795000 2.440000 2.210000 ;
RECT 2.260000 0.255000 2.815000 0.535000 ;
RECT 2.610000 0.725000 3.160000 1.030000 ;
RECT 2.610000 1.965000 2.940000 3.245000 ;
RECT 2.905000 1.030000 3.160000 1.625000 ;
LAYER mcon ;
RECT 0.155000 -0.085000 0.325000 0.085000 ;
RECT 0.155000 3.245000 0.325000 3.415000 ;
RECT 0.635000 -0.085000 0.805000 0.085000 ;
RECT 0.635000 3.245000 0.805000 3.415000 ;
RECT 1.115000 -0.085000 1.285000 0.085000 ;
RECT 1.115000 3.245000 1.285000 3.415000 ;
RECT 1.595000 -0.085000 1.765000 0.085000 ;
RECT 1.595000 3.245000 1.765000 3.415000 ;
RECT 2.075000 -0.085000 2.245000 0.085000 ;
RECT 2.075000 3.245000 2.245000 3.415000 ;
RECT 2.555000 -0.085000 2.725000 0.085000 ;
RECT 2.555000 3.245000 2.725000 3.415000 ;
RECT 3.035000 -0.085000 3.205000 0.085000 ;
RECT 3.035000 3.245000 3.205000 3.415000 ;
END
END sky130_fd_sc_lp__and2b_2
END LIBRARY