blob: ce5d3e1766d6d4a743a43050f0f3008c75395a70 [file] [log] [blame]
{
"description": "Bus driver (pmos devices).",
"file_prefix": "sky130_fd_sc_lp__busdriver2",
"library": "sky130_fd_sc_lp",
"name": "busdriver2",
"parameters": [],
"ports": [
[
"signal",
"Z",
"output",
""
],
[
"signal",
"A",
"input",
""
],
[
"signal",
"TE_B",
"input",
""
],
[
"power",
"VPWR",
"input",
"supply1"
],
[
"power",
"VGND",
"input",
"supply0"
],
[
"power",
"VPB",
"input",
"supply1"
],
[
"power",
"VNB",
"input",
"supply0"
]
],
"type": "cell",
"verilog_name": "sky130_fd_sc_lp__busdriver2"
}