blob: 2460f6369913275aa1b7c666879ea4b18225fe6c [file] [log] [blame]
{
"area": 47.952,
"cell_footprint": "sky130_fd_sc_lp__sdfrbp",
"cell_leakage_power": 0.09569619,
"driver_waveform_fall": "preDrv",
"driver_waveform_rise": "preDrv",
"ff,IQ,IQ_N": {
"clear": "!RESET_B",
"clocked_on": "CLK",
"next_state": "(D&!SCE) | (SCD&SCE)"
},
"leakage_power": {
"value": 0.0956962,
"when": "RESET_B&CLK&D&!SCD&!SCE&!Q&Q_N"
},
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,CLK": {
"capacitance": 0.003108,
"clock": "true",
"direction": "input",
"fall_capacitance": 0.002964,
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.001,
0.00617,
0.01028,
0.01851,
0.03085,
0.05553,
0.09255,
0.16659,
0.27765,
0.49977,
0.83295,
1.16647,
1.5
],
"values": [
0.0266163,
0.026381,
0.026194,
0.0260385,
0.0258052,
0.0256466,
0.0254084,
0.0254789,
0.0255846,
0.0262477,
0.0272426,
0.0282384,
0.0292343
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.001,
0.00617,
0.01028,
0.01851,
0.03085,
0.05553,
0.09255,
0.16659,
0.27765,
0.49977,
0.83295,
1.16647,
1.5
],
"values": [
0.020861,
0.0207946,
0.0207417,
0.0206416,
0.0204913,
0.0203109,
0.0200401,
0.0199249,
0.0197519,
0.0202193,
0.0209205,
0.0216224,
0.0223244
]
}
},
"max_transition": 1.0,
"min_pulse_width_high": 0.106283,
"min_pulse_width_low": 0.201187,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.003251
},
"pin,D": {
"capacitance": 0.001834,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.00177,
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.001,
0.00617,
0.01028,
0.01851,
0.03085,
0.05553,
0.09255,
0.16659,
0.27765,
0.49977,
0.83295,
1.16647,
1.5
],
"values": [
0.0087132,
0.0086725,
0.00864,
0.0085523,
0.0084207,
0.0083156,
0.0081578,
0.0081301,
0.0080883,
0.008314,
0.0086527,
0.0089917,
0.0093307
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.001,
0.00617,
0.01028,
0.01851,
0.03085,
0.05553,
0.09255,
0.16659,
0.27765,
0.49977,
0.83295,
1.16647,
1.5
],
"values": [
0.0033985,
0.0034137,
0.0034258,
0.0034031,
0.0033691,
0.0033009,
0.0031986,
0.0031302,
0.0030275,
0.0031444,
0.0033199,
0.0034955,
0.0036712
]
}
},
"max_transition": 1.0,
"nextstate_type": "data",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.001898,
"timing": [
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.2285152,
0.2356628,
0.2526968,
0.2813631,
0.3390982,
0.4692058,
0.5628406,
0.6488438,
0.7320586,
0.7982798
],
[
0.2212166,
0.2283643,
0.2394516,
0.2740645,
0.3317996,
0.4560479,
0.5555421,
0.6414538,
0.7184698,
0.7851219
],
[
0.2098924,
0.2170403,
0.2340711,
0.2623974,
0.3200948,
0.4447219,
0.5442662,
0.6298866,
0.7109697,
0.7796545
],
[
0.1979258,
0.2051877,
0.2162217,
0.2507358,
0.3085049,
0.4327395,
0.5322426,
0.6184311,
0.6993401,
0.7677328
],
[
0.186665,
0.1934968,
0.2046864,
0.2391602,
0.2965314,
0.4276058,
0.5205454,
0.6065486,
0.6808335,
0.7578763
],
[
0.1866253,
0.1937731,
0.2053084,
0.2345672,
0.2992335,
0.4274895,
0.5150914,
0.6010946,
0.6757317,
0.7560961
],
[
0.1870307,
0.1941784,
0.2053511,
0.2338408,
0.2898155,
0.4222955,
0.5273437,
0.6060923,
0.6816278,
0.7627606
],
[
0.187436,
0.1945839,
0.211615,
0.2402838,
0.3033328,
0.4279321,
0.5276428,
0.6152344,
0.6968603,
0.7711883
],
[
0.1995601,
0.2008484,
0.2178795,
0.2524081,
0.3097973,
0.4374364,
0.5318616,
0.6214991,
0.703125,
0.7788916
],
[
0.2058248,
0.2129724,
0.2358623,
0.2645321,
0.3222673,
0.4465018,
0.5435281,
0.6271294,
0.7093897,
0.7910156
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.1406262,
0.1478735,
0.1591573,
0.1818966,
0.2164555,
0.2572926,
0.280822,
0.2988339,
0.3099553,
0.3272111
],
[
0.1333279,
0.1405683,
0.1518588,
0.1744328,
0.2091571,
0.249994,
0.2735233,
0.2915352,
0.2964664,
0.3064087
],
[
0.1277539,
0.1348395,
0.1461536,
0.1628511,
0.1978162,
0.2441244,
0.2676429,
0.2856566,
0.2902736,
0.3005774
],
[
0.1158875,
0.123018,
0.1344144,
0.1570014,
0.1858709,
0.2327416,
0.2621169,
0.2742081,
0.2960064,
0.2938456
],
[
0.1101174,
0.117416,
0.1292103,
0.1525464,
0.1875209,
0.2283819,
0.2514041,
0.2687336,
0.284633,
0.2883753
],
[
0.1221733,
0.1235613,
0.1348417,
0.1575081,
0.1931669,
0.234375,
0.2632665,
0.27458,
0.2858934,
0.2918941
],
[
0.1342973,
0.1415448,
0.1469668,
0.1697323,
0.2052152,
0.2455437,
0.2753489,
0.2925634,
0.3097362,
0.3093309
],
[
0.1464214,
0.153669,
0.164949,
0.1877141,
0.2231199,
0.2681331,
0.292566,
0.3043645,
0.3158065,
0.3211019
],
[
0.1644049,
0.1716524,
0.1829314,
0.2056913,
0.2402369,
0.2808801,
0.3147011,
0.3276739,
0.3389744,
0.3394384
],
[
0.1882477,
0.1954952,
0.200914,
0.2236685,
0.2582045,
0.2990063,
0.3272476,
0.3450557,
0.3560572,
0.3515625
]
]
},
"timing_type": "setup_rising"
},
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.1171768,
-0.1243294,
-0.141501,
-0.1704307,
-0.2355311,
-0.3346986,
-0.4089925,
-0.4773251,
-0.5342406,
-0.5915422
],
[
-0.1157328,
-0.1228711,
-0.1340977,
-0.1631452,
-0.2282136,
-0.3332979,
-0.4133937,
-0.475867,
-0.5386419,
-0.5959435
],
[
-0.1104296,
-0.1175702,
-0.1347192,
-0.1572515,
-0.222805,
-0.3333335,
-0.4137944,
-0.4820685,
-0.5389838,
-0.5962854
],
[
-0.110205,
-0.1173885,
-0.1285806,
-0.1572276,
-0.2152516,
-0.3338801,
-0.4196432,
-0.4818289,
-0.5446043,
-0.6077654
],
[
-0.0926618,
-0.1173761,
-0.1174101,
-0.1583219,
-0.2112057,
-0.3160169,
-0.4080044,
-0.4885017,
-0.5571344,
-0.6202943
],
[
-0.092863,
-0.1000756,
-0.1171877,
-0.1456451,
-0.2112777,
-0.3339738,
-0.4205063,
-0.4830518,
-0.5458338,
-0.6141334
],
[
-0.104987,
-0.1121369,
-0.1235893,
-0.1523818,
-0.2117417,
-0.3454732,
-0.4337651,
-0.5035009,
-0.5784794,
-0.6333792
],
[
-0.1112517,
-0.1242618,
-0.1297155,
-0.1645057,
-0.2216858,
-0.3501902,
-0.4385316,
-0.5160575,
-0.5972509,
-0.6554393
],
[
-0.1292351,
-0.1305234,
-0.1418406,
-0.1707704,
-0.2342547,
-0.3523595,
-0.4555788,
-0.5079679,
-0.6035156,
-0.6792822
],
[
-0.1472186,
-0.1485042,
-0.1539657,
-0.1828945,
-0.2463791,
-0.3823686,
-0.4748628,
-0.5422451,
-0.6384253,
-0.6914063
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.0761782,
-0.0834257,
-0.0947027,
-0.1116148,
-0.1402834,
-0.1752625,
-0.1870725,
-0.1875086,
-0.1917951,
-0.1917127
],
[
-0.0746336,
-0.0818841,
-0.0872399,
-0.1100484,
-0.1388618,
-0.1679625,
-0.1856331,
-0.1860744,
-0.196215,
-0.1902732
],
[
-0.0690551,
-0.0761136,
-0.087276,
-0.110088,
-0.1388449,
-0.1681691,
-0.186059,
-0.1864788,
-0.1966102,
-0.1965276
],
[
-0.0687895,
-0.0762106,
-0.0821095,
-0.1054047,
-0.1339058,
-0.1686666,
-0.1804774,
-0.1862891,
-0.1964199,
-0.1963481
],
[
-0.0690336,
-0.0762809,
-0.0876447,
-0.1115465,
-0.1408807,
-0.1756912,
-0.1870513,
-0.1921687,
-0.1972411,
-0.196876
],
[
-0.0752982,
-0.0825456,
-0.0938275,
-0.1164902,
-0.1499395,
-0.1932559,
-0.2046728,
-0.2219427,
-0.2274858,
-0.2210349
],
[
-0.0932817,
-0.1005292,
-0.1118086,
-0.134576,
-0.1638746,
-0.2095641,
-0.2341906,
-0.2397775,
-0.2448875,
-0.2507371
],
[
-0.1112652,
-0.1185127,
-0.1239288,
-0.1466881,
-0.1822208,
-0.2213263,
-0.2618408,
-0.2687255,
-0.2687232,
-0.2631218
],
[
-0.1292486,
-0.1364961,
-0.1419109,
-0.1658463,
-0.1992032,
-0.2390801,
-0.2614759,
-0.2868763,
-0.2859318,
-0.2988778
],
[
-0.1530915,
-0.160339,
-0.1657529,
-0.1826411,
-0.2113363,
-0.2566999,
-0.3041601,
-0.3113576,
-0.2992335,
-0.3092045
]
]
},
"timing_type": "hold_rising"
}
]
},
"pin,Q": {
"direction": "output",
"function": "IQ",
"internal_power": [
{
"fall_power,pwr_template13x25": {
"index_1": [
0.001,
0.00617,
0.01028,
0.01851,
0.03085,
0.05553,
0.09255,
0.16659,
0.27765,
0.49977,
0.83295,
1.16647,
1.5
],
"index_2": [
0,
0.00857,
0.01028,
0.01234,
0.01481,
0.01777,
0.02132,
0.02558,
0.03069,
0.03683,
0.04419,
0.05303,
0.06363,
0.07635,
0.09162,
0.10994,
0.13193,
0.15832,
0.18998,
0.22797,
0.27357,
0.32828,
0.39394,
0.47273,
0.56727
],
"values": [
[
-0.0047821,
0.0250414,
0.0301748,
0.0360409,
0.0426974,
0.0501188,
0.0583774,
0.0673882,
0.0771792,
0.0875872,
0.0985484,
0.1098873,
0.1213875,
0.1329583,
0.144314,
0.1551844,
0.1655665,
0.1753109,
0.1845833,
0.192945,
0.2004356,
0.2072119,
0.2131371,
0.2183662,
0.2228682
],
[
-0.0047296,
0.0250766,
0.0301742,
0.0360685,
0.0426893,
0.0501207,
0.0583999,
0.0673799,
0.0771631,
0.0875865,
0.0984943,
0.1098995,
0.1214299,
0.1329106,
0.1442736,
0.155159,
0.1654385,
0.1752199,
0.1845076,
0.192922,
0.2003927,
0.2071914,
0.2131052,
0.2182817,
0.2227752
],
[
-0.0046878,
0.0251045,
0.0302109,
0.0361045,
0.0427209,
0.0501859,
0.0584166,
0.0674029,
0.0772045,
0.0876074,
0.0985016,
0.1099175,
0.1214817,
0.1329715,
0.1442575,
0.1551701,
0.1654448,
0.1750767,
0.1845243,
0.1929192,
0.2003559,
0.2071786,
0.2130597,
0.2182503,
0.2227806
],
[
-0.0046793,
0.0250723,
0.0302198,
0.0360918,
0.042717,
0.0501294,
0.0583893,
0.0673916,
0.0771678,
0.0875908,
0.0985259,
0.1097881,
0.1214162,
0.1329245,
0.1441763,
0.1551126,
0.1653774,
0.1751781,
0.1844153,
0.1928262,
0.2002559,
0.2070646,
0.2129557,
0.2181369,
0.2226695
],
[
-0.0046664,
0.0251009,
0.0301843,
0.0360856,
0.0427172,
0.050147,
0.0583859,
0.0673475,
0.0771176,
0.0875357,
0.0984157,
0.1098261,
0.1213369,
0.1328323,
0.1441498,
0.1550224,
0.1653033,
0.1749155,
0.1843523,
0.1927406,
0.2001792,
0.2069898,
0.2128662,
0.218053,
0.2225699
],
[
-0.0046471,
0.0250918,
0.0302178,
0.0360574,
0.0426749,
0.0501371,
0.0583277,
0.0673432,
0.0771066,
0.0874893,
0.09841,
0.1097267,
0.1212411,
0.1326999,
0.1440573,
0.1549264,
0.1651975,
0.1749485,
0.1842291,
0.1925911,
0.2000548,
0.2067927,
0.2126981,
0.2179379,
0.2224205
],
[
-0.0046181,
0.0250791,
0.0302192,
0.0360664,
0.0427225,
0.0501436,
0.058331,
0.0673435,
0.0770316,
0.087514,
0.0984532,
0.1097243,
0.1211713,
0.1327821,
0.1439975,
0.1548828,
0.1651533,
0.1748162,
0.1841956,
0.1925804,
0.2000337,
0.2067345,
0.2127002,
0.2178401,
0.2223739
],
[
-0.0046124,
0.0250869,
0.0302275,
0.0360878,
0.042689,
0.0501002,
0.0583468,
0.0673279,
0.0770911,
0.0875011,
0.0984215,
0.1096565,
0.1212624,
0.1327593,
0.143989,
0.1548761,
0.1651569,
0.1748782,
0.1841536,
0.1925551,
0.1999771,
0.2067181,
0.2126706,
0.2178702,
0.2223389
],
[
-0.0046036,
0.0251094,
0.0302405,
0.0360931,
0.0427034,
0.0501517,
0.0583403,
0.0673378,
0.0770813,
0.0874836,
0.0983597,
0.1097583,
0.121268,
0.1327114,
0.1440136,
0.1548585,
0.1651918,
0.1747806,
0.1842381,
0.1925054,
0.2001305,
0.2067708,
0.2126562,
0.2178572,
0.2223321
],
[
-0.0046125,
0.0251064,
0.0302328,
0.0360856,
0.0427112,
0.0501472,
0.058349,
0.0673445,
0.0770735,
0.0875154,
0.0983765,
0.109699,
0.1211655,
0.1326916,
0.1440554,
0.1548907,
0.1652291,
0.1748264,
0.1842064,
0.1925637,
0.2000979,
0.2068115,
0.2127391,
0.2179348,
0.2224223
],
[
-0.0046258,
0.0251379,
0.0302658,
0.0361445,
0.0427952,
0.0502099,
0.0584426,
0.0674447,
0.077206,
0.0875778,
0.0985254,
0.1098013,
0.1212831,
0.1327924,
0.1442012,
0.1550767,
0.1654788,
0.1751036,
0.1846772,
0.1929788,
0.2004648,
0.2071511,
0.212984,
0.2182112,
0.2227509
],
[
-0.0046392,
0.0251087,
0.0302599,
0.0361291,
0.0427494,
0.0502058,
0.0584232,
0.067449,
0.077158,
0.0876162,
0.0985361,
0.1098427,
0.1213972,
0.1329116,
0.1442527,
0.1551366,
0.1655003,
0.1753115,
0.1845494,
0.1929746,
0.2005021,
0.2072211,
0.2130884,
0.2182604,
0.2228364
],
[
-0.0046525,
0.0250892,
0.0301926,
0.0360562,
0.0427054,
0.0501145,
0.0583511,
0.0673584,
0.0770785,
0.0875065,
0.098417,
0.1097467,
0.1212512,
0.1327736,
0.1440922,
0.1549128,
0.1652069,
0.1749584,
0.1844538,
0.1930299,
0.2005498,
0.2073015,
0.2132358,
0.2184814,
0.2229962
]
]
},
"related_pin": "RESET_B",
"rise_power,scalar": {
"values": [
0.0
]
}
},
{
"fall_power,pwr_template13x25": {
"index_1": [
0.001,
0.00617,
0.01028,
0.01851,
0.03085,
0.05553,
0.09255,
0.16659,
0.27765,
0.49977,
0.83295,
1.16647,
1.5
],
"index_2": [
0,
0.00857,
0.01028,
0.01234,
0.01481,
0.01777,
0.02132,
0.02558,
0.03069,
0.03683,
0.04419,
0.05303,
0.06363,
0.07635,
0.09162,
0.10994,
0.13193,
0.15832,
0.18998,
0.22797,
0.27357,
0.32828,
0.39394,
0.47273,
0.56727
],
"values": [
[
-0.0104306,
0.020439,
0.0257357,
0.031851,
0.0387397,
0.0464413,
0.054979,
0.0643326,
0.0744267,
0.085236,
0.0965486,
0.1082472,
0.1201557,
0.1321323,
0.1439485,
0.1552462,
0.1659104,
0.1760495,
0.185689,
0.1943432,
0.2020764,
0.2090679,
0.2152012,
0.220603,
0.2252973
],
[
-0.0103974,
0.0204731,
0.0257917,
0.0318591,
0.0387243,
0.0464659,
0.0550046,
0.0643219,
0.0744044,
0.0852399,
0.0965662,
0.1083036,
0.1202449,
0.1322094,
0.1439313,
0.155223,
0.1659899,
0.1759015,
0.1856551,
0.194314,
0.2021523,
0.2091249,
0.2153138,
0.2206615,
0.2253716
],
[
-0.0103709,
0.0204935,
0.0258109,
0.0318917,
0.0387576,
0.0464777,
0.0550184,
0.0643427,
0.0744218,
0.0852109,
0.096569,
0.1083068,
0.1202493,
0.1322205,
0.1439785,
0.1552121,
0.1659541,
0.1760261,
0.1856262,
0.194275,
0.2021305,
0.2091025,
0.2152632,
0.2206381,
0.22532
],
[
-0.0103209,
0.0205321,
0.0258307,
0.0319273,
0.0388089,
0.0465076,
0.0549933,
0.0643474,
0.0744901,
0.0852487,
0.0965747,
0.1083055,
0.1202489,
0.132109,
0.1439409,
0.1552328,
0.1658568,
0.1759062,
0.1856445,
0.1943201,
0.2020623,
0.2090424,
0.2151929,
0.2205905,
0.225299
],
[
-0.0102457,
0.0205885,
0.0258968,
0.031954,
0.0388196,
0.0465528,
0.0550808,
0.0643889,
0.0744578,
0.0852818,
0.0965922,
0.1083185,
0.1202461,
0.1321985,
0.1439114,
0.1551811,
0.1659144,
0.1758393,
0.1855838,
0.1942302,
0.2020637,
0.2090248,
0.2151844,
0.220548,
0.2252591
],
[
-0.0101555,
0.0206492,
0.0259565,
0.0320262,
0.0388794,
0.0465828,
0.055108,
0.0644153,
0.0744754,
0.0852422,
0.0965835,
0.1082937,
0.1202135,
0.1321624,
0.1438831,
0.1551086,
0.1658293,
0.1758847,
0.1854659,
0.1940964,
0.2019392,
0.2088977,
0.2150468,
0.2204108,
0.2250852
],
[
-0.0100201,
0.0207408,
0.0260379,
0.032132,
0.0389967,
0.0466571,
0.0551886,
0.0645145,
0.074577,
0.0853547,
0.0966338,
0.1083112,
0.1201724,
0.1321823,
0.1438888,
0.1551568,
0.1657851,
0.1758897,
0.1855077,
0.1941314,
0.2018553,
0.2088218,
0.2149426,
0.2203273,
0.2250063
],
[
-0.0099625,
0.0208006,
0.0260872,
0.0321393,
0.0390228,
0.0466899,
0.0551956,
0.0644887,
0.0745936,
0.0853387,
0.0966295,
0.1083249,
0.120229,
0.1320697,
0.1438315,
0.1551055,
0.1657299,
0.1757143,
0.1854436,
0.1940943,
0.2018023,
0.2088321,
0.2149629,
0.2202969,
0.2249874
],
[
-0.0098761,
0.0208728,
0.0261692,
0.032199,
0.0390843,
0.0467596,
0.055268,
0.0645777,
0.0746382,
0.0853475,
0.0966806,
0.1083568,
0.1202138,
0.1321711,
0.1438966,
0.1551035,
0.1658145,
0.1758398,
0.1854147,
0.194088,
0.2018233,
0.2087637,
0.2148964,
0.2202844,
0.224959
],
[
-0.0101098,
0.020729,
0.0260245,
0.0321257,
0.0389931,
0.0466682,
0.0552226,
0.0645407,
0.0746341,
0.0853987,
0.0967122,
0.1084113,
0.1202971,
0.1321995,
0.1438637,
0.1551488,
0.1658701,
0.1759742,
0.1857263,
0.1944615,
0.202224,
0.2092046,
0.2153691,
0.2207602,
0.2254396
],
[
-0.0104604,
0.0204181,
0.0257214,
0.0318284,
0.0386959,
0.0464142,
0.054955,
0.0643047,
0.0744257,
0.085207,
0.0965338,
0.1082614,
0.1201694,
0.1321676,
0.1439397,
0.155227,
0.1659258,
0.176117,
0.1857458,
0.1944538,
0.2022626,
0.2091672,
0.215279,
0.2206654,
0.225335
],
[
-0.0108114,
0.020329,
0.0256737,
0.0318242,
0.0388123,
0.0465468,
0.0551992,
0.0646481,
0.074829,
0.0857092,
0.097062,
0.108935,
0.1209329,
0.1328862,
0.1446679,
0.1561422,
0.1669391,
0.1770317,
0.1864293,
0.1949505,
0.2026937,
0.2098409,
0.2160147,
0.2214304,
0.2261392
],
[
-0.0111624,
0.0200749,
0.0254629,
0.0316562,
0.0385812,
0.0463643,
0.0549848,
0.0644588,
0.0746772,
0.0855742,
0.0970668,
0.1089443,
0.1209662,
0.1331409,
0.1449874,
0.1564405,
0.1673115,
0.1775827,
0.1868247,
0.1954218,
0.2031877,
0.2100951,
0.216189,
0.2216219,
0.2263762
]
]
},
"related_pin": "CLK",
"rise_power,pwr_template13x25": {
"index_1": [
0.001,
0.00617,
0.01028,
0.01851,
0.03085,
0.05553,
0.09255,
0.16659,
0.27765,
0.49977,
0.83295,
1.16647,
1.5
],
"index_2": [
0,
0.00857,
0.01028,
0.01234,
0.01481,
0.01777,
0.02132,
0.02558,
0.03069,
0.03683,
0.04419,
0.05303,
0.06363,
0.07635,
0.09162,
0.10994,
0.13193,
0.15832,
0.18998,
0.22797,
0.27357,
0.32828,
0.39394,
0.47273,
0.56727
],
"values": [
[
-0.0104306,
-0.0265076,
-0.0292665,
-0.0324307,
-0.0360019,
-0.0400033,
-0.0444423,
-0.0493219,
-0.0544856,
-0.0599884,
-0.0658046,
-0.0718929,
-0.0781808,
-0.0845445,
-0.0908526,
-0.096962,
-0.1029278,
-0.1088778,
-0.1143509,
-0.117978,
-0.1225072,
-0.129249,
-0.1327842,
-0.1335248,
-0.1399635
],
[
-0.0103974,
-0.0264775,
-0.0292452,
-0.0324067,
-0.035981,
-0.0399875,
-0.0444142,
-0.0493155,
-0.0544737,
-0.0599735,
-0.0658005,
-0.0718864,
-0.0781768,
-0.084543,
-0.0908481,
-0.0969525,
-0.1029466,
-0.1088739,
-0.114307,
-0.117952,
-0.1225008,
-0.1293226,
-0.1327419,
-0.1335058,
-0.1399096
],
[
-0.0103709,
-0.0264602,
-0.0292225,
-0.0323881,
-0.0359613,
-0.0399661,
-0.0443991,
-0.0492935,
-0.0544608,
-0.0599616,
-0.0657916,
-0.0718782,
-0.0781712,
-0.0845373,
-0.0908457,
-0.096949,
-0.1029491,
-0.1088672,
-0.1142901,
-0.1179455,
-0.1225066,
-0.1293442,
-0.1327191,
-0.1335174,
-0.1398965
],
[
-0.0103209,
-0.0264227,
-0.0291873,
-0.0323548,
-0.0359308,
-0.0399388,
-0.044375,
-0.0492745,
-0.0544437,
-0.0599503,
-0.065782,
-0.0718756,
-0.0781718,
-0.0845437,
-0.0908582,
-0.0969687,
-0.1029646,
-0.1089022,
-0.1143408,
-0.1179951,
-0.1225402,
-0.1293531,
-0.1327903,
-0.1335738,
-0.1399685
],
[
-0.0102457,
-0.0263644,
-0.0291305,
-0.0323025,
-0.0358827,
-0.0398942,
-0.0443451,
-0.049238,
-0.0544126,
-0.0599308,
-0.065765,
-0.0718658,
-0.0781726,
-0.0845472,
-0.0908777,
-0.096994,
-0.1029882,
-0.1089342,
-0.1144423,
-0.1180549,
-0.1226219,
-0.1293481,
-0.1329464,
-0.1335739,
-0.1400906
],
[
-0.0101555,
-0.0263029,
-0.0290851,
-0.0322532,
-0.0358504,
-0.0398725,
-0.0443158,
-0.0492488,
-0.0544123,
-0.0599462,
-0.0657893,
-0.0719036,
-0.0782237,
-0.0846085,
-0.0909496,
-0.0970875,
-0.1031037,
-0.1090399,
-0.1147482,
-0.1182327,
-0.1250991,
-0.1293112,
-0.1334455,
-0.1379543,
-0.1404898
],
[
-0.0100201,
-0.0261977,
-0.0289743,
-0.0321584,
-0.035751,
-0.0397774,
-0.0442435,
-0.049155,
-0.0543496,
-0.0598878,
-0.0657429,
-0.0718657,
-0.0781958,
-0.0845912,
-0.0909443,
-0.0970855,
-0.1031019,
-0.1090605,
-0.1146409,
-0.1182284,
-0.1228275,
-0.129481,
-0.1332404,
-0.1337152,
-0.1403656
],
[
-0.0099625,
-0.0261639,
-0.0289563,
-0.0321362,
-0.0357423,
-0.03978,
-0.0442365,
-0.049181,
-0.054365,
-0.0599178,
-0.0657844,
-0.0719178,
-0.0782573,
-0.0846666,
-0.0910292,
-0.0971787,
-0.1032025,
-0.1091782,
-0.1146585,
-0.1183363,
-0.1229032,
-0.1297162,
-0.1332109,
-0.1339374,
-0.1404169
],
[
-0.009876,
-0.0261072,
-0.0288906,
-0.0320877,
-0.0356918,
-0.0397252,
-0.0442022,
-0.0491296,
-0.0543392,
-0.0598962,
-0.0658312,
-0.0719932,
-0.0783453,
-0.0846872,
-0.0909831,
-0.0971532,
-0.1031651,
-0.1090654,
-0.1146712,
-0.1200645,
-0.1249769,
-0.1271271,
-0.1338689,
-0.1375968,
-0.1409174
],
[
-0.0101097,
-0.026299,
-0.0290812,
-0.0322643,
-0.0358752,
-0.0398903,
-0.0443578,
-0.0492777,
-0.054455,
-0.0600608,
-0.0658883,
-0.0720125,
-0.0782438,
-0.0846236,
-0.0912069,
-0.0973586,
-0.1034383,
-0.1090451,
-0.1147113,
-0.1199665,
-0.1250769,
-0.1271318,
-0.1343687,
-0.1375481,
-0.1368662
],
[
-0.0104604,
-0.0264878,
-0.0292319,
-0.032391,
-0.0359416,
-0.0399185,
-0.0443102,
-0.0491537,
-0.054385,
-0.0599783,
-0.0660652,
-0.0722083,
-0.0785235,
-0.0846854,
-0.0907693,
-0.0965729,
-0.1023971,
-0.1082031,
-0.1136873,
-0.1200338,
-0.1255686,
-0.1274311,
-0.1342685,
-0.1349626,
-0.1414211
],
[
-0.0108114,
-0.0267373,
-0.029467,
-0.0326048,
-0.0361508,
-0.0401018,
-0.044472,
-0.0492462,
-0.0544151,
-0.0598849,
-0.0656067,
-0.0715379,
-0.0778339,
-0.0845709,
-0.0911043,
-0.097332,
-0.1028111,
-0.1078936,
-0.1128838,
-0.1178598,
-0.1229837,
-0.1289647,
-0.1335752,
-0.1391639,
-0.1422696
],
[
-0.0111624,
-0.0270026,
-0.0297203,
-0.0328327,
-0.0363544,
-0.0402876,
-0.0446281,
-0.0493666,
-0.0544787,
-0.0600513,
-0.0658334,
-0.0718071,
-0.0778432,
-0.0838537,
-0.0897117,
-0.0963207,
-0.1026899,
-0.1091388,
-0.1139365,
-0.1176613,
-0.121809,
-0.1265318,
-0.1319283,
-0.1378594,
-0.1430214
]
]
}
}
],
"max_capacitance": 0.56727,
"max_transition": 1.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"cell_fall,delay_template13x25": {
"index_1": [
0.001,
0.00617,
0.01028,
0.01851,
0.03085,
0.05553,
0.09255,
0.16659,
0.27765,
0.49977,
0.83295,
1.16647,
1.5
],
"index_2": [
0,
0.00857,
0.01028,
0.01234,
0.01481,
0.01777,
0.02132,
0.02558,
0.03069,
0.03683,
0.04419,
0.05303,
0.06363,
0.07635,
0.09162,
0.10994,
0.13193,
0.15832,
0.18998,
0.22797,
0.27357,
0.32828,
0.39394,
0.47273,
0.56727
],
"values": [
[
0.2970288,
0.321152,
0.3245589,
0.3283741,
0.3326309,
0.3374635,
0.3429036,
0.349118,
0.3562547,
0.3644885,
0.3739243,
0.3848871,
0.3977293,
0.4126398,
0.4304757,
0.4515881,
0.4767045,
0.5065459,
0.5421134,
0.5845072,
0.6347864,
0.6945406,
0.7656097,
0.8506278,
0.9521991
],
[
0.298569,
0.3227283,
0.3261431,
0.3299377,
0.3341992,
0.3390301,
0.3444728,
0.3506856,
0.3578241,
0.3660569,
0.3754868,
0.3864457,
0.399285,
0.414301,
0.4319617,
0.4530927,
0.4782725,
0.5081087,
0.5437119,
0.5860142,
0.6363544,
0.6961019,
0.7671584,
0.8521803,
0.9537489
],
[
0.3002869,
0.3244471,
0.3278605,
0.3316878,
0.3359537,
0.3407839,
0.3461404,
0.3523571,
0.3594979,
0.3677832,
0.3772025,
0.3881536,
0.4009836,
0.4160166,
0.4337816,
0.4547642,
0.4799225,
0.50976,
0.545427,
0.5877125,
0.6380237,
0.6978065,
0.7688811,
0.8538683,
0.9554205
],
[
0.3039402,
0.3281214,
0.3315333,
0.3353989,
0.3395179,
0.3443533,
0.3497998,
0.3560173,
0.3631618,
0.3713578,
0.3807857,
0.391823,
0.4046467,
0.4196848,
0.4374404,
0.4584064,
0.4835797,
0.5134295,
0.5490221,
0.5913262,
0.6416762,
0.7014672,
0.7725173,
0.8573036,
0.9590519
],
[
0.3098937,
0.3340513,
0.3374663,
0.3412694,
0.3455325,
0.3503687,
0.3558139,
0.3620198,
0.3691495,
0.3773815,
0.3868071,
0.397762,
0.410596,
0.425624,
0.4432436,
0.4643967,
0.4895341,
0.5194188,
0.5550359,
0.5972974,
0.6476491,
0.7074206,
0.77848,
0.8634845,
0.9650445
],
[
0.3227409,
0.3468591,
0.3502554,
0.3540964,
0.3583513,
0.3631772,
0.3686266,
0.3748369,
0.3819675,
0.390197,
0.3996391,
0.4106069,
0.4233352,
0.4383493,
0.4561746,
0.4772987,
0.5024299,
0.53228,
0.5678568,
0.6102241,
0.6605246,
0.7202959,
0.791379,
0.8763528,
0.9778992
],
[
0.3431561,
0.3673388,
0.3707542,
0.3745447,
0.3787095,
0.383521,
0.3889796,
0.3952175,
0.4023641,
0.4105385,
0.4199703,
0.4309694,
0.4437606,
0.4588955,
0.4766513,
0.4977781,
0.5227414,
0.5525904,
0.5882334,
0.6305171,
0.6808737,
0.7406346,
0.8116791,
0.8966458,
0.9982578
],
[
0.3837446,
0.4079267,
0.4113403,
0.4150544,
0.4193105,
0.4241423,
0.4295904,
0.4358131,
0.4429581,
0.451148,
0.4605764,
0.4716249,
0.4844461,
0.4994858,
0.517239,
0.5383776,
0.5633777,
0.5932255,
0.6288157,
0.6711373,
0.7214747,
0.7812433,
0.8523001,
0.9371511,
1.0388665
],
[
0.4366575,
0.4608381,
0.4642382,
0.4680262,
0.4722984,
0.4771323,
0.4826079,
0.4888348,
0.4958488,
0.5040661,
0.5135041,
0.5244676,
0.5372816,
0.55232,
0.5701656,
0.5912839,
0.6163767,
0.6463596,
0.6818711,
0.7242757,
0.7745206,
0.8343491,
0.9052549,
0.99025,
1.0918262
],
[
0.5224305,
0.5464628,
0.5498327,
0.5536316,
0.5579213,
0.5627407,
0.5682116,
0.5744086,
0.5815806,
0.5897514,
0.5991859,
0.6101858,
0.6229847,
0.6380464,
0.6558091,
0.6769256,
0.7020232,
0.7319622,
0.7675083,
0.8099008,
0.8601624,
0.9199358,
0.9910189,
1.0759159,
1.1772712
],
[
0.6272293,
0.6513505,
0.654741,
0.6585912,
0.662865,
0.6676797,
0.6731428,
0.6793509,
0.6864758,
0.6947056,
0.7041533,
0.7150196,
0.7278471,
0.7428732,
0.7606896,
0.7818275,
0.8069767,
0.8368406,
0.872437,
0.9147433,
0.9650351,
1.0247524,
1.0958648,
1.180711,
1.2823324
],
[
0.7162497,
0.7405485,
0.7439172,
0.7476211,
0.7518969,
0.7567169,
0.7621899,
0.7684402,
0.7755972,
0.7837718,
0.7933063,
0.8042597,
0.8170982,
0.8319708,
0.8497738,
0.8709749,
0.8960453,
0.9259088,
0.9615435,
1.0039036,
1.0541965,
1.1139286,
1.1850624,
1.2698533,
1.3714649
],
[
0.7996507,
0.8238166,
0.827195,
0.83103,
0.8353707,
0.8401848,
0.8456534,
0.8518883,
0.8589959,
0.8671101,
0.8765241,
0.8874905,
0.90029,
0.9153867,
0.9332223,
0.9543618,
0.9795201,
1.0093684,
1.0449729,
1.0873241,
1.1376223,
1.1974161,
1.2684999,
1.3534877,
1.4549396
]
]
},
"fall_transition,delay_template13x25": {
"index_1": [
0.001,
0.00617,
0.01028,
0.01851,
0.03085,
0.05553,
0.09255,
0.16659,
0.27765,
0.49977,
0.83295,
1.16647,
1.5
],
"index_2": [
0,
0.00857,
0.01028,
0.01234,
0.01481,
0.01777,
0.02132,
0.02558,
0.03069,
0.03683,
0.04419,
0.05303,
0.06363,
0.07635,
0.09162,
0.10994,
0.13193,
0.15832,
0.18998,
0.22797,
0.27357,
0.32828,
0.39394,
0.47273,
0.56727
],
"values": [
[
0.0189462,
0.0349069,
0.0374205,
0.0403914,
0.0443459,
0.0483636,
0.0530665,
0.0591803,
0.0661819,
0.0742654,
0.0845462,
0.0967867,
0.1115343,
0.1299413,
0.1515917,
0.1770228,
0.2104194,
0.2464595,
0.2922549,
0.3447867,
0.4084131,
0.4849863,
0.5766286,
0.6887714,
0.8239352
],
[
0.0190299,
0.0349331,
0.0374839,
0.0404176,
0.0443216,
0.0484472,
0.0530554,
0.0588985,
0.0661578,
0.0743165,
0.0845724,
0.0968658,
0.1113006,
0.1298215,
0.1508971,
0.1774491,
0.2103912,
0.2478679,
0.2922388,
0.3450141,
0.4085046,
0.4846301,
0.5762676,
0.6889573,
0.8232603
],
[
0.0189858,
0.0350698,
0.0375634,
0.0404934,
0.0439438,
0.0485657,
0.0530314,
0.0592317,
0.0662541,
0.0743104,
0.0845399,
0.096895,
0.1114345,
0.1296189,
0.1516177,
0.1779196,
0.2103384,
0.2478206,
0.2920484,
0.3449561,
0.4084815,
0.4843748,
0.5767102,
0.6889961,
0.8237021
],
[
0.0190134,
0.0352043,
0.0377213,
0.0405669,
0.0438551,
0.0483966,
0.0530672,
0.0592119,
0.0661814,
0.0746299,
0.0842925,
0.0968493,
0.1114911,
0.1294846,
0.151649,
0.1769027,
0.2099292,
0.2455751,
0.2922391,
0.3450041,
0.408437,
0.4847606,
0.5775302,
0.6892683,
0.8232947
],
[
0.0190076,
0.0349992,
0.037522,
0.0404536,
0.0443427,
0.0485265,
0.0531004,
0.0588573,
0.0661404,
0.0743347,
0.0845539,
0.0968902,
0.1113448,
0.1297123,
0.1516234,
0.1775991,
0.2101589,
0.2479236,
0.2921584,
0.3448533,
0.408516,
0.4841321,
0.5764336,
0.6890263,
0.8233272
],
[
0.0190004,
0.0349519,
0.0373712,
0.0403552,
0.0438483,
0.0484227,
0.0529824,
0.0591261,
0.0661083,
0.0742363,
0.0845145,
0.0967073,
0.111362,
0.1299469,
0.1509384,
0.1772871,
0.210251,
0.2478817,
0.292187,
0.344952,
0.4084927,
0.4847303,
0.5773584,
0.6889418,
0.8234766
],
[
0.0189733,
0.0352794,
0.0376103,
0.0405009,
0.0442651,
0.0480887,
0.0533263,
0.0592489,
0.0659197,
0.074639,
0.0842706,
0.0969176,
0.1118385,
0.1293424,
0.1516483,
0.177821,
0.2090419,
0.2476428,
0.2920466,
0.3449453,
0.4084423,
0.4848037,
0.5774033,
0.6883164,
0.8229621
],
[
0.0190289,
0.0352829,
0.0378157,
0.0403678,
0.0443494,
0.0483678,
0.0531443,
0.0592068,
0.0661542,
0.0746421,
0.0841816,
0.0968365,
0.1115003,
0.1294434,
0.1516533,
0.177898,
0.2097344,
0.2479192,
0.292248,
0.3450503,
0.4084179,
0.4846321,
0.5774754,
0.6890767,
0.8234155
],
[
0.0189148,
0.0347483,
0.0372897,
0.0402768,
0.0441461,
0.0483707,
0.053068,
0.0589532,
0.0661126,
0.0743128,
0.0846253,
0.096637,
0.1114973,
0.129609,
0.1515414,
0.1779041,
0.2095066,
0.2470088,
0.2923347,
0.3451115,
0.4087758,
0.4841018,
0.5770496,
0.6890156,
0.8229772
],
[
0.0189829,
0.0349559,
0.0373188,
0.0402828,
0.0437777,
0.0484517,
0.0529732,
0.0591678,
0.0661318,
0.0745894,
0.0842697,
0.0968552,
0.1118471,
0.1293099,
0.1514396,
0.1772361,
0.2092717,
0.2470395,
0.2924053,
0.3452197,
0.408966,
0.4841837,
0.5766141,
0.6876616,
0.8202551
],
[
0.01904,
0.0350669,
0.037448,
0.0403651,
0.04414,
0.0484981,
0.0530673,
0.0590982,
0.0661009,
0.0742554,
0.0845099,
0.0968763,
0.1114626,
0.1299364,
0.1516946,
0.1772745,
0.2080771,
0.2455513,
0.2904068,
0.3440375,
0.4074351,
0.4837581,
0.5774964,
0.6898471,
0.8227265
],
[
0.0190047,
0.0349831,
0.0375165,
0.0407399,
0.0440335,
0.0482365,
0.0533017,
0.0592028,
0.0658799,
0.0746125,
0.0844444,
0.0968163,
0.1114483,
0.1298419,
0.1507891,
0.1776106,
0.2104019,
0.2466151,
0.2919572,
0.3447804,
0.4071394,
0.4836713,
0.5775404,
0.6867927,
0.8223818
],
[
0.0191299,
0.0353273,
0.0377042,
0.0405117,
0.0438826,
0.04837,
0.053149,
0.0588163,
0.065794,
0.0743316,
0.0846423,
0.0969358,
0.1117998,
0.1296383,
0.1511224,
0.1772559,
0.210472,
0.2480419,
0.292329,
0.345099,
0.4085049,
0.4849791,
0.5771379,
0.685303,
0.8198582
]
]
},
"related_pin": "RESET_B",
"timing_sense": "positive_unate",
"timing_type": "clear"
},
{
"cell_fall,delay_template13x25": {
"index_1": [
0.001,
0.00617,
0.01028,
0.01851,
0.03085,
0.05553,
0.09255,
0.16659,
0.27765,
0.49977,
0.83295,
1.16647,
1.5
],
"index_2": [
0,
0.00857,
0.01028,
0.01234,
0.01481,
0.01777,
0.02132,
0.02558,
0.03069,
0.03683,
0.04419,
0.05303,
0.06363,
0.07635,
0.09162,
0.10994,
0.13193,
0.15832,
0.18998,
0.22797,
0.27357,
0.32828,
0.39394,
0.47273,
0.56727
],
"values": [
[
0.2430023,
0.2672335,
0.2706738,
0.2744429,
0.2787532,
0.2836625,
0.2891026,
0.2953054,
0.302405,
0.3106333,
0.3200382,
0.3310204,
0.3438196,
0.3588384,
0.3766497,
0.3977771,
0.4229284,
0.4527898,
0.4884151,
0.5307626,
0.5811407,
0.6408624,
0.7119306,
0.7968652,
0.8984996
],
[
0.2448798,
0.2691057,
0.2725063,
0.2763546,
0.2806854,
0.2854932,
0.2909337,
0.2972307,
0.3043278,
0.3124893,
0.3219519,
0.3328927,
0.3457058,
0.3607665,
0.3785578,
0.3996315,
0.4247539,
0.4547089,
0.4902757,
0.5326729,
0.5829454,
0.6427652,
0.7138468,
0.7987165,
0.9001279
],
[
0.2464251,
0.2706513,
0.2740517,
0.2779181,
0.2821894,
0.2870237,
0.2924664,
0.2987689,
0.3058618,
0.3140387,
0.3234993,
0.3344491,
0.3472489,
0.3623007,
0.3800988,
0.4012062,
0.4262891,
0.456194,
0.4918358,
0.5341709,
0.5845551,
0.6443049,
0.7153896,
0.8002078,
0.9017881
],
[
0.2496666,
0.2739395,
0.2772875,
0.2811168,
0.2854395,
0.2903049,
0.2957643,
0.301947,
0.3091003,
0.3172769,
0.3267208,
0.3376747,
0.3504916,
0.3655297,
0.3832694,
0.4043895,
0.4295742,
0.4594237,
0.4950869,
0.5373907,
0.5877711,
0.6474931,
0.718606,
0.8035935,
0.9050388
],
[
0.2544245,
0.2786509,
0.2820505,
0.2858951,
0.2902262,
0.2950387,
0.300477,
0.3067743,
0.3138722,
0.3220354,
0.3314974,
0.3424387,
0.3552512,
0.3703123,
0.3881045,
0.4091814,
0.4342979,
0.4642504,
0.4998164,
0.5422151,
0.5924984,
0.6523121,
0.723394,
0.8082608,
0.9096827
],
[
0.2632509,
0.2874783,
0.2908783,
0.2947463,
0.2990142,
0.3038482,
0.3092914,
0.3155943,
0.3226869,
0.3308655,
0.3403257,
0.3512761,
0.364073,
0.3791254,
0.396924,
0.4180341,
0.443121,
0.4730175,
0.5086637,
0.5510015,
0.6013854,
0.6611317,
0.7322167,
0.8170318,
0.9186222
],
[
0.2732071,
0.2974393,
0.3008586,
0.3046457,
0.3089314,
0.3138569,
0.3193052,
0.3255117,
0.3326107,
0.3408379,
0.350243,
0.3612233,
0.3740242,
0.3890433,
0.4068559,
0.427976,
0.4531308,
0.4829947,
0.5186204,
0.5609719,
0.6113373,
0.6710747,
0.742147,
0.82709,
0.9287068
],
[
0.2860781,
0.3103106,
0.3136891,
0.3175269,
0.3218723,
0.3266869,
0.3321605,
0.3383543,
0.3455292,
0.353688,
0.3631433,
0.3740856,
0.3869027,
0.4019501,
0.4197204,
0.4407842,
0.4659748,
0.4958527,
0.5314926,
0.5738396,
0.6241588,
0.6839447,
0.7550148,
0.8399691,
0.9413652
],
[
0.2983913,
0.3225019,
0.3259941,
0.3298345,
0.3341812,
0.3389845,
0.3444366,
0.3507693,
0.3578454,
0.3660024,
0.3754483,
0.3864045,
0.3991705,
0.4141996,
0.4320322,
0.4532028,
0.4782627,
0.5081454,
0.5437873,
0.5861456,
0.6364895,
0.6962543,
0.7673415,
0.852319,
0.9538247
],
[
0.3107644,
0.3349978,
0.3384203,
0.3422295,
0.3465235,
0.3513933,
0.3569076,
0.3630964,
0.3701807,
0.3784012,
0.3878227,
0.398797,
0.4115662,
0.4266079,
0.4444266,
0.465565,
0.4906965,
0.5205398,
0.5561346,
0.5985301,
0.6488252,
0.7085305,
0.7797256,
0.8647021,
0.9662939
],
[
0.3153789,
0.3396244,
0.343006,
0.3468429,
0.3512022,
0.355997,
0.3614418,
0.3676598,
0.374836,
0.3829962,
0.3924578,
0.4033969,
0.4161923,
0.431247,
0.4490084,
0.4701576,
0.495238,
0.525176,
0.5608105,
0.6031824,
0.6535368,
0.713278,
0.7843566,
0.869215,
0.9706445
],
[
0.3109582,
0.3352014,
0.3386298,
0.3424091,
0.3467225,
0.3516261,
0.3570711,
0.3632737,
0.3703764,
0.3786024,
0.3880106,
0.3989906,
0.4117926,
0.426797,
0.4446178,
0.4657922,
0.4908595,
0.5207546,
0.5563681,
0.5987422,
0.6490741,
0.7087865,
0.7799739,
0.8648002,
0.9662215
],
[
0.3019404,
0.3261744,
0.3295754,
0.3334233,
0.3377517,
0.3425672,
0.3480061,
0.3543048,
0.3614004,
0.3695644,
0.3790281,
0.3899701,
0.4027828,
0.4178442,
0.4356361,
0.4567197,
0.4818247,
0.5117705,
0.54739,
0.5897479,
0.6400587,
0.6998275,
0.7709322,
0.8557481,
0.9573338
]
]
},
"cell_rise,delay_template13x25": {
"index_1": [
0.001,
0.00617,
0.01028,
0.01851,
0.03085,
0.05553,
0.09255,
0.16659,
0.27765,
0.49977,
0.83295,
1.16647,
1.5
],
"index_2": [
0,
0.00857,
0.01028,
0.01234,
0.01481,
0.01777,
0.02132,
0.02558,
0.03069,
0.03683,
0.04419,
0.05303,
0.06363,
0.07635,
0.09162,
0.10994,
0.13193,
0.15832,
0.18998,
0.22797,
0.27357,
0.32828,
0.39394,
0.47273,
0.56727
],
"values": [
[
0.2859468,
0.3166941,
0.3212148,
0.3265593,
0.332693,
0.3399759,
0.3484759,
0.3587377,
0.3709807,
0.3856112,
0.4030166,
0.4237945,
0.4482476,
0.4768378,
0.5102807,
0.5498075,
0.5968778,
0.653457,
0.7210917,
0.80194,
0.8992203,
1.0162459,
1.1570908,
1.3269036,
1.5276597
],
[
0.2878457,
0.3185975,
0.3231147,
0.3284426,
0.3346138,
0.3418478,
0.3504052,
0.3606594,
0.3728783,
0.387531,
0.4049058,
0.4257009,
0.4501509,
0.4787394,
0.5121826,
0.551701,
0.5987952,
0.6553779,
0.7230454,
0.8038879,
0.9010614,
1.0181038,
1.1589023,
1.3288129,
1.5296222
],
[
0.289439,
0.3201905,
0.3247082,
0.3300484,
0.3361985,
0.3434598,
0.3519799,
0.362246,
0.3744751,
0.3891373,
0.4065047,
0.4272993,
0.4517498,
0.4803387,
0.5137819,
0.5532984,
0.6004021,
0.6569826,
0.7246622,
0.8055091,
0.902649,
1.0196917,
1.1604663,
1.3303902,
1.5312469
],
[
0.2926156,
0.3233649,
0.3278832,
0.3332221,
0.3393739,
0.346633,
0.3551558,
0.3654211,
0.3776482,
0.392309,
0.409681,
0.4304721,
0.4549221,
0.4835111,
0.5169542,
0.5564739,
0.6035676,
0.6601488,
0.7278199,
0.8086568,
0.9058376,
1.0228826,
1.1636782,
1.3335983,
1.5343778
],
[
0.2974106,
0.3281589,
0.3326799,
0.3380246,
0.3441588,
0.3514403,
0.3599371,
0.3702046,
0.3824448,
0.397075,
0.4144837,
0.43526,
0.4597125,
0.4883027,
0.5217446,
0.5612735,
0.6083398,
0.6649191,
0.7325513,
0.8133894,
0.9107038,
1.0277349,
1.1685855,
1.338392,
1.5390752
],
[
0.3063321,
0.3370471,
0.3415757,
0.3468358,
0.3530797,
0.3602372,
0.3688599,
0.3790958,
0.3913143,
0.40591,
0.4233916,
0.4441259,
0.4685835,
0.497171,
0.5306109,
0.570158,
0.6171679,
0.6737421,
0.7413316,
0.8222281,
0.9197072,
1.036777,
1.1776272,
1.3474367,
1.5473836
],
[
0.3163506,
0.3470988,
0.3516189,
0.3569632,
0.3631006,
0.3703775,
0.3788765,
0.3891473,
0.4013858,
0.4160089,
0.4334318,
0.454198,
0.4786513,
0.5072412,
0.5406827,
0.5802175,
0.6272667,
0.6838458,
0.7514649,
0.8322832,
0.9296954,
1.0467334,
1.1876012,
1.3573763,
1.557903
],
[
0.3298472,
0.3605923,
0.3651162,
0.3703999,
0.3766165,
0.3837954,
0.3924007,
0.4026441,
0.4148641,
0.4294823,
0.446913,
0.4676773,
0.4921306,
0.5207187,
0.5541611,
0.5936942,
0.6407781,
0.6973617,
0.7650272,
0.8458692,
0.9430675,
1.0601108,
1.2009316,
1.3708172,
1.5716322
],
[
0.3422189,
0.3729712,
0.3774897,
0.382843,
0.3889883,
0.3961756,
0.4047818,
0.4151434,
0.4272461,
0.4419492,
0.4593078,
0.4800558,
0.504515,
0.5330846,
0.5665237,
0.6060943,
0.6533167,
0.7098397,
0.7777147,
0.8587677,
0.9561868,
1.0730289,
1.213063,
1.3815901,
1.5868239
],
[
0.3552163,
0.3859627,
0.3905171,
0.395773,
0.4020092,
0.4091994,
0.4178119,
0.4280271,
0.4402277,
0.4547853,
0.4723962,
0.4930475,
0.5175351,
0.5460483,
0.5794994,
0.6190615,
0.6661118,
0.7225178,
0.7901678,
0.8721036,
0.9693631,
1.0863432,
1.226551,
1.3939888,
1.5996303
],
[
0.360784,
0.391509,
0.3960958,
0.4013801,
0.4075315,
0.4148098,
0.4233892,
0.433526,
0.445782,
0.4605168,
0.4778769,
0.4986908,
0.5231189,
0.5516743,
0.5850965,
0.6246791,
0.6719167,
0.7284446,
0.7963285,
0.8769283,
0.9741407,
1.0908693,
1.2311887,
1.4018302,
1.6044125
],
[
0.3580657,
0.3888187,
0.3933781,
0.3986386,
0.4048418,
0.4120668,
0.4206734,
0.4308442,
0.4430127,
0.4577475,
0.4751415,
0.4959231,
0.520389,
0.5489653,
0.5824431,
0.6219121,
0.6690007,
0.7254942,
0.7938835,
0.8746956,
0.9720134,
1.0884226,
1.2283935,
1.3966081,
1.5981854
],
[
0.3507175,
0.3814684,
0.3859908,
0.3913356,
0.3974665,
0.4047531,
0.413258,
0.4235117,
0.4357558,
0.4503954,
0.4677847,
0.4885737,
0.513029,
0.5415361,
0.5750209,
0.6146523,
0.6618203,
0.7183004,
0.7861352,
0.8668514,
0.964664,
1.0827481,
1.2220254,
1.3921793,
1.591277
]
]
},
"fall_transition,delay_template13x25": {
"index_1": [
0.001,
0.00617,
0.01028,
0.01851,
0.03085,
0.05553,
0.09255,
0.16659,
0.27765,
0.49977,
0.83295,
1.16647,
1.5
],
"index_2": [
0,
0.00857,
0.01028,
0.01234,
0.01481,
0.01777,
0.02132,
0.02558,
0.03069,
0.03683,
0.04419,
0.05303,
0.06363,
0.07635,
0.09162,
0.10994,
0.13193,
0.15832,
0.18998,
0.22797,
0.27357,
0.32828,
0.39394,
0.47273,
0.56727
],
"values": [
[
0.0188799,
0.0351899,
0.0375544,
0.0403998,
0.0442718,
0.0480404,
0.0534243,
0.0588875,
0.0661982,
0.074521,
0.0843121,
0.0969062,
0.1118054,
0.1300116,
0.1508628,
0.1778948,
0.2098858,
0.2455427,
0.2922263,
0.3450792,
0.4084047,
0.4848966,
0.5770205,
0.6883491,
0.8239544
],
[
0.0189541,
0.0348331,
0.0377926,
0.0405984,
0.0440799,
0.0485497,
0.0531226,
0.0592682,
0.0659261,
0.0745038,
0.0847327,
0.0969531,
0.1115297,
0.1291792,
0.1513768,
0.1786781,
0.2083925,
0.2471731,
0.2926755,
0.34515,
0.4085282,
0.4840358,
0.5770975,
0.688359,
0.8204185
],
[
0.0189424,
0.0348239,
0.0378114,
0.0404915,
0.0439598,
0.0484549,
0.0532377,
0.0592942,
0.0661088,
0.0743232,
0.0847049,
0.0969071,
0.1116671,
0.1297162,
0.1513922,
0.1783319,
0.2100399,
0.2459269,
0.2923699,
0.344795,
0.4079938,
0.4846491,
0.5775255,
0.6890565,
0.8220431
],
[
0.0189934,
0.0349725,
0.037427,
0.0408386,
0.0440912,
0.0480111,
0.05338,
0.0591842,
0.0661525,
0.0745407,
0.0845491,
0.0966471,
0.1114444,
0.1298854,
0.1517464,
0.1780217,
0.2090408,
0.2476072,
0.2925622,
0.3450815,
0.4085653,
0.4849077,
0.5767727,
0.6878081,
0.823316
],
[
0.0189297,
0.0348616,
0.0378149,
0.0406249,
0.0440639,
0.048525,
0.0531376,
0.0592744,
0.0659507,
0.0744779,
0.0847345,
0.0969471,
0.1115447,
0.1292076,
0.1513449,
0.1786645,
0.2084752,
0.2472298,
0.2926584,
0.3451157,
0.4084798,
0.4840661,
0.5771391,
0.6884086,
0.8205573
],
[
0.0189433,
0.0348199,
0.037804,
0.0404836,
0.0439523,
0.0484482,
0.0532458,
0.0592935,
0.0661207,
0.0743148,
0.0847007,
0.0968994,
0.1116949,
0.1297509,
0.1514338,
0.1783089,
0.2100935,
0.2459101,
0.2923513,
0.344778,
0.4079711,
0.484682,
0.5775405,
0.6890987,
0.8221255
],
[
0.0188819,
0.0351377,
0.037538,
0.0403955,
0.044302,
0.0480856,
0.0534321,
0.0588945,
0.0661966,
0.0745257,
0.0843277,
0.0968806,
0.1117963,
0.130016,
0.1507963,
0.1779812,
0.2097535,
0.2455295,
0.2922236,
0.3450704,
0.4084936,
0.4847933,
0.5772152,
0.6886016,
0.8235821
],
[
0.0189438,
0.0348809,
0.0374057,
0.0408075,
0.0439784,
0.0484945,
0.0532868,
0.0592083,
0.0659803,
0.0746099,
0.0846793,
0.0968204,
0.1116153,
0.1297994,
0.1518373,
0.1766576,
0.2095123,
0.2466667,
0.2927008,
0.3451495,
0.4085671,
0.4847528,
0.5759033,
0.686934,
0.8216971
],
[
0.018856,
0.0348223,
0.0373542,
0.0406947,
0.044006,
0.0485261,
0.0533576,
0.0595171,
0.0661767,
0.0743351,
0.0842332,
0.0964914,
0.1122886,
0.1298874,
0.1516599,
0.1772851,
0.210308,
0.2466874,
0.2924126,
0.3451875,
0.4083885,
0.4850021,
0.5773059,
0.688238,
0.8240463
],
[
0.0188741,
0.0352651,
0.0375242,
0.0404635,
0.0443803,
0.0482854,
0.0530613,
0.0591185,
0.0662455,
0.0742102,
0.0846048,
0.0968689,
0.1117276,
0.1298763,
0.1511422,
0.1766185,
0.2083418,
0.2465528,
0.2902582,
0.3437472,
0.4086908,
0.4854325,
0.5773623,
0.6885626,
0.8210858
],
[
0.0189475,
0.0349016,
0.0373625,
0.0407862,
0.0441767,
0.0486147,
0.0530792,
0.0592304,
0.0658327,
0.074368,
0.0843206,
0.0967431,
0.1115318,
0.1292934,
0.1510114,
0.1771951,
0.2098415,
0.2457006,
0.2923337,
0.3449247,
0.4084645,
0.4837033,
0.5763472,
0.6855787,
0.8211884
],
[
0.0189858,
0.0351848,
0.0375537,
0.0403906,
0.0442526,
0.0480294,
0.0534435,
0.058918,
0.0662103,
0.0745427,
0.0842155,
0.0970066,
0.1114618,
0.1298844,
0.1521077,
0.1768514,
0.2084456,
0.2472795,
0.2908673,
0.3443987,
0.408379,
0.4846806,
0.5765342,
0.6866015,
0.8182854
],
[
0.0189474,
0.0348722,
0.0378225,
0.0406167,
0.0440652,
0.0485184,
0.0531389,
0.059282,
0.0659604,
0.0744911,
0.0847386,
0.0969571,
0.1115498,
0.1292301,
0.1512701,
0.1775868,
0.2085003,
0.2477857,
0.2921356,
0.345151,
0.4081383,
0.4848754,
0.5774284,
0.6893588,
0.8244223
]
]
},
"related_pin": "CLK",
"rise_transition,delay_template13x25": {
"index_1": [
0.001,
0.00617,
0.01028,
0.01851,
0.03085,
0.05553,
0.09255,
0.16659,
0.27765,
0.49977,
0.83295,
1.16647,
1.5
],
"index_2": [
0,
0.00857,
0.01028,
0.01234,
0.01481,
0.01777,
0.02132,
0.02558,
0.03069,
0.03683,
0.04419,
0.05303,
0.06363,
0.07635,
0.09162,
0.10994,
0.13193,
0.15832,
0.18998,
0.22797,
0.27357,
0.32828,
0.39394,
0.47273,
0.56727
],
"values": [
[
0.0213677,
0.0455972,
0.0504548,
0.0565307,
0.0638964,
0.0731531,
0.0844216,
0.0980563,
0.1144921,
0.1338181,
0.1567592,
0.1834441,
0.2153995,
0.253106,
0.2983752,
0.3532783,
0.4191544,
0.4982455,
0.5935173,
0.7076151,
0.8493322,
1.0161554,
1.2153707,
1.4607121,
1.7490474
],
[
0.0213936,
0.045564,
0.0503526,
0.0564742,
0.0639422,
0.0732392,
0.0843601,
0.0982062,
0.1144166,
0.133888,
0.1566824,
0.1834979,
0.2153907,
0.2530378,
0.2982876,
0.3531949,
0.4191177,
0.498262,
0.5936307,
0.7071819,
0.8493158,
1.0158798,
1.2158213,
1.4618058,
1.7500852
],
[
0.0213823,
0.0455936,
0.0503874,
0.0565256,
0.0639407,
0.0730976,
0.0843887,
0.0981722,
0.1144134,
0.1339084,
0.1566831,
0.1834901,
0.2153905,
0.2530462,
0.2982712,
0.3531617,
0.4190858,
0.498257,
0.593687,
0.7070964,
0.8493124,
1.0158032,
1.2160801,
1.4622741,
1.7506163
],
[
0.0213857,
0.0455927,
0.0503778,
0.0565233,
0.0639427,
0.0731116,
0.0843896,
0.0981799,
0.1144068,
0.1339025,
0.1566955,
0.183483,
0.2153898,
0.2530622,
0.2983122,
0.3532035,
0.4191132,
0.498264,
0.5936295,
0.7071968,
0.8493225,
1.0159402,
1.2157878,
1.4617246,
1.7499527
],
[
0.0213664,
0.0455974,
0.050455,
0.0565309,
0.0639008,
0.0731427,
0.0844186,
0.0980743,
0.1144854,
0.1338066,
0.1567644,
0.1834411,
0.2153996,
0.2531193,
0.2984167,
0.3532897,
0.4191649,
0.4982436,
0.5934847,
0.7077258,
0.8493122,
1.0163203,
1.2156155,
1.4603723,
1.7484433
],
[
0.0214934,
0.0456122,
0.0504982,
0.0564945,
0.0637752,
0.0732668,
0.084265,
0.0980807,
0.1144891,
0.1338442,
0.1568191,
0.1834194,
0.2154007,
0.2531774,
0.2985433,
0.3534541,
0.419223,
0.4981686,
0.5930026,
0.7084774,
0.8491322,
1.0173646,
1.2172413,
1.4637006,
1.7514407
],
[
0.021365,
0.045598,
0.0504396,
0.0565326,
0.0639131,
0.0731165,
0.084415,
0.0981046,
0.1144708,
0.1337723,
0.1567807,
0.1834136,
0.2153978,
0.2531447,
0.29846,
0.3533389,
0.419195,
0.4982286,
0.5933519,
0.7080433,
0.8492741,
1.0166937,
1.2161441,
1.4620578,
1.7494133
],
[
0.0214071,
0.0456089,
0.0504499,
0.0564811,
0.0638601,
0.0732861,
0.0842813,
0.0981438,
0.1144004,
0.1337728,
0.1567739,
0.1834311,
0.2154055,
0.253117,
0.2984257,
0.3533547,
0.4191394,
0.4982679,
0.5936039,
0.7073511,
0.8493331,
1.0160321,
1.2156136,
1.4609327,
1.7490672
],
[
0.0214127,
0.0455352,
0.0504722,
0.0563809,
0.0638879,
0.0732819,
0.084234,
0.0983181,
0.114609,
0.1340959,
0.1569103,
0.1836017,
0.2152511,
0.253233,
0.2987219,
0.3536417,
0.4189925,
0.497387,
0.5926287,
0.709195,
0.8471818,
1.0175982,
1.2203195,
1.4605568,
1.757361
],
[
0.0215174,
0.0455678,
0.0505449,
0.0564713,
0.0639439,
0.0731616,
0.084458,
0.097959,
0.1146445,
0.1340726,
0.1566694,
0.1844014,
0.2152532,
0.2529092,
0.2992772,
0.3525746,
0.4192566,
0.4979835,
0.5934608,
0.7082445,
0.8490509,
1.0155602,
1.2180292,
1.4582066,
1.7572158
],
[
0.0213473,
0.0455994,
0.0504145,
0.0564727,
0.0639089,
0.0730519,
0.0842352,
0.0979066,
0.1142446,
0.1339733,
0.1567117,
0.1834949,
0.2152728,
0.2532614,
0.2987376,
0.3536379,
0.4180432,
0.4966264,
0.5931534,
0.7089753,
0.8458597,
1.01527,
1.2164326,
1.4623993,
1.7494801
],
[
0.0213873,
0.0455239,
0.0504594,
0.056434,
0.0639894,
0.0729553,
0.0844511,
0.0982185,
0.1144902,
0.1339149,
0.1566623,
0.1835598,
0.2159835,
0.2531067,
0.2985286,
0.3535581,
0.419241,
0.497158,
0.593381,
0.7071305,
0.8462869,
1.0123579,
1.2168055,
1.4563325,
1.745557
],
[
0.0213788,
0.0456001,
0.0504756,
0.0565323,
0.0638897,
0.0731726,
0.0844294,
0.0980374,
0.1145037,
0.1339278,
0.1566748,
0.183346,
0.2153693,
0.2531101,
0.2983504,
0.3533379,
0.4192536,
0.4971317,
0.5936123,
0.7092404,
0.8487114,
1.0145136,
1.2185487,
1.454679,
1.744362
]
]
},
"timing_sense": "non_unate",
"timing_type": "rising_edge"
}
]
},
"pin,Q_N": {
"direction": "output",
"function": "IQ_N",
"internal_power": [
{
"fall_power,scalar": {
"values": [
0.0
]
},
"related_pin": "RESET_B",
"rise_power,pwr_template13x25": {
"index_1": [
0.001,
0.00617,
0.01028,
0.01851,
0.03085,
0.05553,
0.09255,
0.16659,
0.27765,
0.49977,
0.83295,
1.16647,
1.5
],
"index_2": [
0,
0.00794,
0.00952,
0.01143,
0.01372,
0.01646,
0.01975,
0.0237,
0.02843,
0.03412,
0.04093,
0.04912,
0.05894,
0.07072,
0.08487,
0.10184,
0.12221,
0.14665,
0.17598,
0.21117,
0.25341,
0.30409,
0.36491,
0.4379,
0.52547
],
"values": [
[
-0.0047821,
-0.0198177,
-0.0223924,
-0.0253405,
-0.0286829,
-0.0324131,
-0.0365545,
-0.0411116,
-0.0460981,
-0.0513077,
-0.0568038,
-0.0624723,
-0.0683872,
-0.0744227,
-0.0804039,
-0.0861577,
-0.0918691,
-0.0971375,
-0.1022823,
-0.1071201,
-0.1111847,
-0.1156765,
-0.1193291,
-0.1231619,
-0.1262733
],
[
-0.0047296,
-0.0197868,
-0.0223608,
-0.0252988,
-0.0286477,
-0.032385,
-0.0365328,
-0.0411135,
-0.0460994,
-0.0513267,
-0.0568055,
-0.0624848,
-0.0684605,
-0.0744434,
-0.0804062,
-0.0863925,
-0.0919312,
-0.0972372,
-0.1023216,
-0.106859,
-0.1110531,
-0.1159424,
-0.1194377,
-0.1228878,
-0.1268949
],
[
-0.0046878,
-0.0197457,
-0.0223202,
-0.0252739,
-0.0286132,
-0.0323661,
-0.0365146,
-0.0410985,
-0.046075,
-0.0512949,
-0.0567968,
-0.062495,
-0.0683817,
-0.0744001,
-0.0802851,
-0.0861954,
-0.0916978,
-0.0974127,
-0.102323,
-0.1068039,
-0.1117281,
-0.115653,
-0.1193727,
-0.1244123,
-0.1267415
],
[
-0.0046793,
-0.0197534,
-0.0223285,
-0.0252872,
-0.0286354,
-0.0323822,
-0.0365399,
-0.0411305,
-0.0461356,
-0.0513322,
-0.0568462,
-0.0625642,
-0.068352,
-0.0744747,
-0.0803157,
-0.08625,
-0.0917437,
-0.0974532,
-0.1023972,
-0.1072695,
-0.1116492,
-0.1158619,
-0.1200371,
-0.1239533,
-0.1265951
],
[
-0.0046664,
-0.0197614,
-0.0223418,
-0.0252874,
-0.028645,
-0.0323988,
-0.0365557,
-0.0411494,
-0.0461442,
-0.0513702,
-0.056878,
-0.0625765,
-0.0685247,
-0.0745326,
-0.0804644,
-0.0864218,
-0.0920654,
-0.0976426,
-0.1024882,
-0.1070378,
-0.1119905,
-0.1159707,
-0.119772,
-0.1229749,
-0.1272204
],
[
-0.0046471,
-0.0197454,
-0.0223291,
-0.0253092,
-0.0286596,
-0.0324127,
-0.0365858,
-0.0411808,
-0.0461912,
-0.0514227,
-0.0569148,
-0.0626413,
-0.068649,
-0.0746355,
-0.080613,
-0.0863861,
-0.0921369,
-0.0973757,
-0.1027017,
-0.1072118,
-0.1120539,
-0.1162114,
-0.1202972,
-0.1232571,
-0.1279948
],
[
-0.0046181,
-0.0197386,
-0.0223287,
-0.025291,
-0.0286475,
-0.0323997,
-0.0365773,
-0.0411857,
-0.0462005,
-0.0514478,
-0.0569419,
-0.0626347,
-0.0686039,
-0.0746358,
-0.0806394,
-0.0864208,
-0.0921729,
-0.0974148,
-0.1027922,
-0.1074438,
-0.1117074,
-0.1164596,
-0.1199661,
-0.1234884,
-0.1277588
],
[
-0.0046123,
-0.0197384,
-0.0223293,
-0.0252966,
-0.0286556,
-0.0323995,
-0.0365781,
-0.0411832,
-0.0461951,
-0.0514073,
-0.0569566,
-0.0626931,
-0.0685105,
-0.0746389,
-0.0805001,
-0.0864507,
-0.0919808,
-0.0976921,
-0.1026015,
-0.1075868,
-0.1119327,
-0.1160511,
-0.1203638,
-0.124176,
-0.1273838
],
[
-0.0046036,
-0.0197399,
-0.0223263,
-0.0252885,
-0.0286375,
-0.032394,
-0.0365643,
-0.0411655,
-0.0461699,
-0.0514289,
-0.0569578,
-0.0626477,
-0.0686331,
-0.0746507,
-0.0805868,
-0.0865589,
-0.0922337,
-0.0975614,
-0.1026661,
-0.107256,
-0.1124497,
-0.1161885,
-0.1198644,
-0.1242157,
-0.1278496
],
[
-0.0046125,
-0.0197346,
-0.0223173,
-0.0252844,
-0.0286462,
-0.0324069,
-0.0365847,
-0.0411541,
-0.0461826,
-0.0514122,
-0.0569245,
-0.0626594,
-0.0685855,
-0.0745922,
-0.0805654,
-0.0864465,
-0.0920625,
-0.0975572,
-0.1027877,
-0.1073064,
-0.1119667,
-0.1157927,
-0.1201104,
-0.1236947,
-0.1266306
],
[
-0.0046258,
-0.0197439,
-0.0223329,
-0.0252981,
-0.0286585,
-0.0323982,
-0.0365712,
-0.0411653,
-0.0461464,
-0.0513759,
-0.0568775,
-0.0626116,
-0.068501,
-0.074435,
-0.0802201,
-0.0859199,
-0.0914895,
-0.0974015,
-0.1025902,
-0.1077504,
-0.1119394,
-0.1159613,
-0.119761,
-0.1233404,
-0.1296656
],
[
-0.0046391,
-0.0197538,
-0.0223474,
-0.0253115,
-0.0286591,
-0.0324104,
-0.0365733,
-0.0411111,
-0.0460355,
-0.051347,
-0.0570424,
-0.0626434,
-0.068367,
-0.0743398,
-0.0800811,
-0.0858716,
-0.091193,
-0.0966238,
-0.101415,
-0.1063842,
-0.1120891,
-0.116572,
-0.1210786,
-0.1246594,
-0.1274911
],
[
-0.0046525,
-0.0197488,
-0.022334,
-0.0253025,
-0.0286373,
-0.0323732,
-0.0365253,
-0.0410809,
-0.0459834,
-0.0512261,
-0.0566913,
-0.0623564,
-0.0683951,
-0.0744989,
-0.0803197,
-0.0860983,
-0.0911651,
-0.0963319,
-0.1010361,
-0.1054395,
-0.1106397,
-0.1156875,
-0.1205759,
-0.1246385,
-0.1258708
]
]
}
},
{
"fall_power,pwr_template13x25": {
"index_1": [
0.001,
0.00617,
0.01028,
0.01851,
0.03085,
0.05553,
0.09255,
0.16659,
0.27765,
0.49977,
0.83295,
1.16647,
1.5
],
"index_2": [
0,
0.00794,
0.00952,
0.01143,
0.01372,
0.01646,
0.01975,
0.0237,
0.02843,
0.03412,
0.04093,
0.04912,
0.05894,
0.07072,
0.08487,
0.10184,
0.12221,
0.14665,
0.17598,
0.21117,
0.25341,
0.30409,
0.36491,
0.4379,
0.52547
],
"values": [
[
-0.0104306,
0.0184676,
0.0234311,
0.0291394,
0.0355811,
0.0427532,
0.0508008,
0.0595122,
0.0689323,
0.0789732,
0.0895491,
0.1005132,
0.111661,
0.1228108,
0.1338714,
0.1444921,
0.1546162,
0.1641654,
0.1732075,
0.1811545,
0.1883925,
0.1949966,
0.2007015,
0.205808,
0.2101587
],
[
-0.0103974,
0.0184989,
0.0234602,
0.0291615,
0.0356067,
0.0428126,
0.0508002,
0.0595603,
0.0689699,
0.0790238,
0.0895608,
0.1005187,
0.1116726,
0.1228058,
0.1338788,
0.1444272,
0.1546054,
0.1641601,
0.1732035,
0.1811058,
0.1882951,
0.194946,
0.2006608,
0.2057553,
0.2101228
],
[
-0.0103709,
0.018529,
0.023469,
0.0291583,
0.0356223,
0.0427958,
0.0508328,
0.0595161,
0.0689368,
0.0790056,
0.0895248,
0.1005054,
0.1116666,
0.122807,
0.1338842,
0.144433,
0.1546164,
0.164155,
0.1731863,
0.1811526,
0.1883923,
0.1949797,
0.2007038,
0.2058031,
0.2101604
],
[
-0.0103209,
0.0185593,
0.0235089,
0.029213,
0.035609,
0.0428534,
0.0508491,
0.0595511,
0.0689761,
0.079013,
0.0895757,
0.1005041,
0.111665,
0.1227945,
0.1337821,
0.1444556,
0.1545914,
0.1641377,
0.1731664,
0.1811021,
0.1883343,
0.1949092,
0.200647,
0.2058382,
0.2102514
],
[
-0.0102457,
0.0186103,
0.0235669,
0.0292675,
0.0357001,
0.0428607,
0.0508967,
0.0595974,
0.0690023,
0.0790313,
0.0895873,
0.1005364,
0.1116678,
0.1228019,
0.1338548,
0.1444553,
0.1545694,
0.1641046,
0.1731304,
0.181073,
0.1883005,
0.1948853,
0.2005974,
0.2056853,
0.2100394
],
[
-0.0101555,
0.0186619,
0.0236211,
0.0292798,
0.0357364,
0.0428763,
0.0509181,
0.0595728,
0.0690188,
0.0790114,
0.0895437,
0.1004769,
0.1116176,
0.1227195,
0.1337803,
0.1443783,
0.154496,
0.1639844,
0.1729761,
0.1809755,
0.1881922,
0.1947231,
0.2005033,
0.2055411,
0.209935
],
[
-0.0100201,
0.0187865,
0.0237129,
0.0294061,
0.0358316,
0.043013,
0.0509434,
0.0596914,
0.0690976,
0.0790682,
0.0896134,
0.1005392,
0.1116455,
0.1227532,
0.1337943,
0.1444004,
0.1544348,
0.1639495,
0.172923,
0.1809456,
0.1881619,
0.1947734,
0.2005569,
0.2055852,
0.2099909
],
[
-0.0099625,
0.0188101,
0.0237438,
0.0294147,
0.0358079,
0.0429677,
0.0509791,
0.0596254,
0.0690547,
0.0790403,
0.0895464,
0.100463,
0.1115432,
0.1227523,
0.1335979,
0.1442897,
0.1543658,
0.1638088,
0.1727998,
0.1807855,
0.1879952,
0.1945929,
0.2003612,
0.2053857,
0.2097578
],
[
-0.0098761,
0.0188651,
0.0238157,
0.0294609,
0.0358682,
0.0430149,
0.0509679,
0.059659,
0.0690319,
0.079066,
0.0895591,
0.1004686,
0.1115827,
0.1227424,
0.1335867,
0.144257,
0.1543028,
0.163833,
0.1728145,
0.1807305,
0.1879589,
0.1944957,
0.2002159,
0.205278,
0.2096409
],
[
-0.0101098,
0.018697,
0.0236389,
0.0293062,
0.0357312,
0.0429093,
0.0508945,
0.0595885,
0.069046,
0.0791011,
0.089618,
0.1005695,
0.111675,
0.1227067,
0.1336565,
0.1441931,
0.1541635,
0.1637804,
0.1728054,
0.1809498,
0.1881958,
0.1947632,
0.200492,
0.2055399,
0.2099391
],
[
-0.0104604,
0.0183647,
0.0233108,
0.0289923,
0.0354156,
0.042605,
0.0505802,
0.059282,
0.0687169,
0.0787,
0.0892493,
0.1001484,
0.1112829,
0.1224358,
0.1334692,
0.1440116,
0.1541441,
0.1636679,
0.1727829,
0.1807991,
0.1880979,
0.1945912,
0.200281,
0.2053491,
0.2097478
],
[
-0.0108114,
0.0182903,
0.0232443,
0.0290152,
0.0354802,
0.0427568,
0.0508164,
0.0595907,
0.069037,
0.0791782,
0.0897835,
0.1007899,
0.1120224,
0.1231999,
0.1342287,
0.1449161,
0.1551706,
0.1645419,
0.1732895,
0.1812191,
0.1884689,
0.1950616,
0.2008407,
0.2059294,
0.2103167
],
[
-0.0111624,
0.0180343,
0.0230123,
0.0287734,
0.0352364,
0.0425019,
0.0505462,
0.0594631,
0.0689696,
0.0791286,
0.0897047,
0.1007302,
0.112027,
0.1233124,
0.1344422,
0.1451833,
0.1554597,
0.1650143,
0.173884,
0.1819642,
0.1892305,
0.1956714,
0.2014848,
0.2065517,
0.2109927
]
]
},
"related_pin": "CLK",
"rise_power,pwr_template13x25": {
"index_1": [
0.001,
0.00617,
0.01028,
0.01851,
0.03085,
0.05553,
0.09255,
0.16659,
0.27765,
0.49977,
0.83295,
1.16647,
1.5
],
"index_2": [
0,
0.00794,
0.00952,
0.01143,
0.01372,
0.01646,
0.01975,
0.0237,
0.02843,
0.03412,
0.04093,
0.04912,
0.05894,
0.07072,
0.08487,
0.10184,
0.12221,
0.14665,
0.17598,
0.21117,
0.25341,
0.30409,
0.36491,
0.4379,
0.52547
],
"values": [
[
-0.0104305,
-0.0244012,
-0.0267873,
-0.0295358,
-0.0326453,
-0.0361137,
-0.0399691,
-0.0442256,
-0.0488384,
-0.053666,
-0.058753,
-0.0640384,
-0.0695334,
-0.0752119,
-0.0808396,
-0.0862313,
-0.0915501,
-0.0965924,
-0.1014748,
-0.1056619,
-0.1098954,
-0.1136059,
-0.1179088,
-0.1204546,
-0.1246572
],
[
-0.0103973,
-0.0243747,
-0.0267682,
-0.0295097,
-0.0326199,
-0.0360926,
-0.0399484,
-0.04421,
-0.0488195,
-0.0536579,
-0.0587348,
-0.064023,
-0.0695195,
-0.0751014,
-0.0807523,
-0.0862746,
-0.0916219,
-0.0967508,
-0.1016661,
-0.1058267,
-0.1100839,
-0.1139766,
-0.1168298,
-0.1211794,
-0.1236314
],
[
-0.0103709,
-0.0243526,
-0.026743,
-0.0294905,
-0.0325992,
-0.0360779,
-0.0399434,
-0.0441995,
-0.0488237,
-0.0536592,
-0.0587551,
-0.0640639,
-0.0696061,
-0.0752061,
-0.0808415,
-0.0864415,
-0.091525,
-0.0965942,
-0.1014296,
-0.1060974,
-0.1103212,
-0.1142458,
-0.1176839,
-0.123621,
-0.1244066
],
[
-0.0103208,
-0.024314,
-0.0267077,
-0.0294557,
-0.0325709,
-0.0360471,
-0.0399044,
-0.0441648,
-0.0488097,
-0.0536421,
-0.0587349,
-0.0640354,
-0.0696034,
-0.0752278,
-0.0808679,
-0.0862859,
-0.0915456,
-0.0965953,
-0.1014969,
-0.1060057,
-0.1103929,
-0.1142557,
-0.1178269,
-0.1205645,
-0.1242052
],
[
-0.0102457,
-0.0242602,
-0.0266602,
-0.0294153,
-0.0325334,
-0.0360055,
-0.0398705,
-0.0441382,
-0.048773,
-0.0536346,
-0.0587312,
-0.0640214,
-0.0695546,
-0.0751433,
-0.0807809,
-0.086365,
-0.0918127,
-0.0968605,
-0.1014087,
-0.1058784,
-0.1097965,
-0.1141458,
-0.1176164,
-0.1216139,
-0.1239395
],
[
-0.0101555,
-0.0241975,
-0.0266002,
-0.0293585,
-0.03248,
-0.035974,
-0.0398532,
-0.0441176,
-0.0487608,
-0.0536263,
-0.0587354,
-0.0640626,
-0.0696491,
-0.075269,
-0.080931,
-0.0863893,
-0.0916334,
-0.0967236,
-0.1016004,
-0.1062517,
-0.1105117,
-0.1143595,
-0.117914,
-0.1206108,
-0.124602
],
[
-0.0100201,
-0.0240897,
-0.0264967,
-0.0292649,
-0.0323848,
-0.0358798,
-0.0397609,
-0.0440487,
-0.0486909,
-0.0535624,
-0.0586688,
-0.0639892,
-0.0695158,
-0.0751447,
-0.0808185,
-0.0863533,
-0.0917591,
-0.0969501,
-0.1017989,
-0.1060166,
-0.1102122,
-0.1144884,
-0.1171678,
-0.1214305,
-0.1238175
],
[
-0.0099625,
-0.0240433,
-0.0264535,
-0.0292217,
-0.0323517,
-0.0358547,
-0.0397409,
-0.0440137,
-0.0486897,
-0.0535665,
-0.0586894,
-0.0640287,
-0.0696297,
-0.0752681,
-0.0809356,
-0.0864164,
-0.0916611,
-0.0967626,
-0.1016191,
-0.1062908,
-0.1105592,
-0.1144843,
-0.1179579,
-0.1239231,
-0.1246551
],
[
-0.009876,
-0.0239639,
-0.0263748,
-0.0291428,
-0.0322817,
-0.0357838,
-0.0396775,
-0.0439563,
-0.0486073,
-0.0534859,
-0.0586045,
-0.0639444,
-0.0694642,
-0.0751842,
-0.0808535,
-0.0863222,
-0.091624,
-0.0967559,
-0.101642,
-0.1058871,
-0.1102469,
-0.1138301,
-0.1176862,
-0.1206569,
-0.1244393
],
[
-0.0101097,
-0.0241704,
-0.026576,
-0.0293448,
-0.0324695,
-0.0359672,
-0.0398474,
-0.0441189,
-0.0487653,
-0.0536423,
-0.0587654,
-0.0640657,
-0.0695483,
-0.0749595,
-0.0805512,
-0.0861828,
-0.0918467,
-0.0968201,
-0.1015763,
-0.1058739,
-0.1099487,
-0.1136617,
-0.1172245,
-0.1216264,
-0.1247782
],
[
-0.0104604,
-0.0244031,
-0.0267884,
-0.0295275,
-0.0326244,
-0.0360832,
-0.0399258,
-0.0441028,
-0.0486001,
-0.0534357,
-0.0585381,
-0.0640157,
-0.0695716,
-0.0751815,
-0.080635,
-0.0857137,
-0.0906863,
-0.0954962,
-0.1005482,
-0.1055848,
-0.1108049,
-0.1147382,
-0.11914,
-0.1216257,
-0.1245704
],
[
-0.0108114,
-0.024639,
-0.0270042,
-0.0297206,
-0.0327944,
-0.0362263,
-0.0400272,
-0.044159,
-0.0486406,
-0.0534064,
-0.0583986,
-0.063542,
-0.0687971,
-0.0743758,
-0.0803217,
-0.0859225,
-0.0911504,
-0.0955107,
-0.0990196,
-0.1036831,
-0.1078605,
-0.113017,
-0.1189438,
-0.1227789,
-0.1257661
],
[
-0.0111624,
-0.0248812,
-0.027229,
-0.0299237,
-0.0329595,
-0.0363607,
-0.040128,
-0.0442665,
-0.048688,
-0.0534128,
-0.0583591,
-0.063473,
-0.0687902,
-0.074059,
-0.079185,
-0.0843888,
-0.0904496,
-0.0956325,
-0.1000771,
-0.1034917,
-0.1067658,
-0.1101087,
-0.1159117,
-0.1203272,
-0.1249332
]
]
}
}
],
"max_capacitance": 0.52547,
"max_transition": 1.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"cell_rise,delay_template13x25": {
"index_1": [
0.001,
0.00617,
0.01028,
0.01851,
0.03085,
0.05553,
0.09255,
0.16659,
0.27765,
0.49977,
0.83295,
1.16647,
1.5
],
"index_2": [
0,
0.00794,
0.00952,
0.01143,
0.01372,
0.01646,
0.01975,
0.0237,
0.02843,
0.03412,
0.04093,
0.04912,
0.05894,
0.07072,
0.08487,
0.10184,
0.12221,
0.14665,
0.17598,
0.21117,
0.25341,
0.30409,
0.36491,
0.4379,
0.52547
],
"values": [
[
0.2057839,
0.2417736,
0.2471665,
0.2534023,
0.2606105,
0.2688292,
0.278402,
0.2894284,
0.3024142,
0.3177173,
0.3357511,
0.3572883,
0.3826788,
0.412883,
0.448886,
0.491756,
0.5428737,
0.6037977,
0.6766766,
0.7643455,
0.8697368,
0.9959734,
1.1466244,
1.3285988,
1.545181
],
[
0.207264,
0.2433526,
0.2487394,
0.2549938,
0.2622055,
0.2704074,
0.2799248,
0.290987,
0.3039618,
0.3192752,
0.337297,
0.3588299,
0.3842317,
0.4144531,
0.4504396,
0.493325,
0.5444447,
0.6053435,
0.6783427,
0.7659741,
0.8711771,
0.9982917,
1.1472868,
1.3317698,
1.5479229
],
[
0.2089602,
0.2450241,
0.2504358,
0.2567067,
0.2639009,
0.2720741,
0.2816453,
0.2927026,
0.3056469,
0.3209628,
0.3389886,
0.3605294,
0.3859172,
0.416151,
0.4521167,
0.4950172,
0.5461243,
0.6069669,
0.6799836,
0.7672893,
0.8720251,
0.9986626,
1.1508466,
1.3327121,
1.5488646
],
[
0.2126227,
0.2486749,
0.2541406,
0.2603754,
0.2675607,
0.275731,
0.285302,
0.2963667,
0.3093012,
0.3246153,
0.3426475,
0.3641886,
0.3895726,
0.4198085,
0.4557654,
0.4986693,
0.5497475,
0.6106486,
0.6835825,
0.7708967,
0.8762283,
1.0016757,
1.1538099,
1.3365636,
1.5521155
],
[
0.2186466,
0.2546574,
0.2600453,
0.2663041,
0.2735139,
0.2816922,
0.2912634,
0.302316,
0.3152704,
0.3305853,
0.3486078,
0.3701434,
0.3955352,
0.4257633,
0.4617355,
0.5046276,
0.5557426,
0.6166159,
0.6896344,
0.7771509,
0.8822295,
1.0093039,
1.1604432,
1.3411767,
1.5585333
],
[
0.2314565,
0.267642,
0.273001,
0.2792019,
0.2863623,
0.2945684,
0.3041057,
0.3151989,
0.3281505,
0.3434392,
0.3614469,
0.3829445,
0.4083866,
0.4385978,
0.4746082,
0.5174912,
0.5685727,
0.6295066,
0.702312,
0.790182,
0.8952398,
1.0216041,
1.1719239,
1.3541869,
1.5737666
],
[
0.2517629,
0.2878987,
0.2933024,
0.2995739,
0.3067423,
0.3149145,
0.3244844,
0.3355652,
0.3485091,
0.3637949,
0.381863,
0.4033341,
0.4287466,
0.45899,
0.4949809,
0.5378508,
0.5889205,
0.649858,
0.7226729,
0.8104757,
0.9155313,
1.0415877,
1.1922517,
1.3756243,
1.5917735
],
[
0.2925033,
0.3285634,
0.3339612,
0.3401934,
0.3473621,
0.3556266,
0.3651234,
0.376146,
0.3890858,
0.4044006,
0.4224432,
0.4439943,
0.4693861,
0.4996324,
0.5355911,
0.5784935,
0.6295628,
0.6904568,
0.7633569,
0.8507078,
0.9560613,
1.0812822,
1.2332995,
1.4161481,
1.632165
],
[
0.3452487,
0.3814368,
0.3868272,
0.3930677,
0.4001966,
0.4083903,
0.4179659,
0.4290633,
0.4420437,
0.4573347,
0.4754203,
0.496884,
0.5223634,
0.552551,
0.5885865,
0.6314866,
0.6825908,
0.7434935,
0.8164006,
0.9040904,
1.0090883,
1.1355912,
1.2869293,
1.4666136,
1.6868623
],
[
0.4311111,
0.4673118,
0.4727266,
0.4789951,
0.4861441,
0.4943624,
0.5038452,
0.5149501,
0.5278962,
0.5431557,
0.5612222,
0.5827064,
0.6081796,
0.6383833,
0.6744243,
0.7173362,
0.7684221,
0.8293485,
0.9022342,
0.989561,
1.0943795,
1.2209859,
1.3774127,
1.5565474,
1.7724052
],
[
0.5351671,
0.5719311,
0.5773993,
0.5836801,
0.5909233,
0.5991897,
0.6087336,
0.6198525,
0.6328487,
0.6481645,
0.6661983,
0.6877064,
0.713158,
0.743399,
0.7793619,
0.8222496,
0.8733376,
0.9341403,
1.0071323,
1.0944917,
1.1991845,
1.3267691,
1.4782469,
1.6587748,
1.880069
],
[
0.623969,
0.6610033,
0.666467,
0.6727615,
0.6799692,
0.6882286,
0.6978153,
0.7089602,
0.721973,
0.7373345,
0.7553848,
0.7769499,
0.8023597,
0.8325942,
0.8685611,
0.9114707,
0.96254,
1.0234145,
1.0963142,
1.1835174,
1.2882732,
1.4141891,
1.5658058,
1.7464525,
1.9673829
],
[
0.7068126,
0.7442679,
0.7497721,
0.756109,
0.7633926,
0.7717457,
0.7813264,
0.7925192,
0.8055406,
0.8208778,
0.8389789,
0.8604813,
0.8859394,
0.9162333,
0.9522365,
0.9951363,
1.0462202,
1.1071062,
1.180128,
1.267415,
1.3724686,
1.4996135,
1.6485948,
1.8299839,
2.0471509
]
]
},
"related_pin": "RESET_B",
"rise_transition,delay_template13x25": {
"index_1": [
0.001,
0.00617,
0.01028,
0.01851,
0.03085,
0.05553,
0.09255,
0.16659,
0.27765,
0.49977,
0.83295,
1.16647,
1.5
],
"index_2": [
0,
0.00794,
0.00952,
0.01143,
0.01372,
0.01646,
0.01975,
0.0237,
0.02843,
0.03412,
0.04093,
0.04912,
0.05894,
0.07072,
0.08487,
0.10184,
0.12221,
0.14665,
0.17598,
0.21117,
0.25341,
0.30409,
0.36491,
0.4379,
0.52547
],
"values": [
[
0.0223097,
0.0531582,
0.0586155,
0.0650379,
0.0728359,
0.0820572,
0.0931588,
0.1068861,
0.1229223,
0.1427587,
0.1658308,
0.1942055,
0.2285057,
0.2693672,
0.3184413,
0.3772135,
0.4488137,
0.5347846,
0.6368003,
0.762633,
0.9124818,
1.094049,
1.3115441,
1.570722,
1.8827038
],
[
0.0225794,
0.0531563,
0.0586275,
0.0651717,
0.0729569,
0.0822139,
0.0931607,
0.1067505,
0.1229088,
0.1428016,
0.1659129,
0.1942579,
0.2285751,
0.2695666,
0.3191975,
0.3775386,
0.4485159,
0.5350111,
0.6384534,
0.7634079,
0.911391,
1.090774,
1.3054533,
1.5680965,
1.8750881
],
[
0.0225776,
0.0532502,
0.0585711,
0.0651532,
0.0729769,
0.0819891,
0.0931503,
0.1067268,
0.1228988,
0.1427697,
0.165836,
0.1942488,
0.2284747,
0.2696697,
0.3192741,
0.3774284,
0.4490285,
0.5350789,
0.6366005,
0.7627934,
0.9102901,
1.0908016,
1.3087951,
1.5692072,
1.8781825
],
[
0.0223411,
0.0532486,
0.0584979,
0.0651383,
0.0729677,
0.0820205,
0.0931399,
0.1067516,
0.1229061,
0.1427403,
0.1658717,
0.1942726,
0.2283791,
0.2696297,
0.3191296,
0.3777358,
0.4487657,
0.5346959,
0.6383313,
0.7612477,
0.9123604,
1.0910007,
1.3044317,
1.5679457,
1.8780011
],
[
0.0223242,
0.0531605,
0.0586233,
0.0651698,
0.0729691,
0.0820348,
0.0931571,
0.106722,
0.1229026,
0.1427867,
0.1658149,
0.1942194,
0.2285319,
0.2696485,
0.3192994,
0.3776658,
0.4484766,
0.5336369,
0.6382546,
0.761139,
0.9111774,
1.093013,
1.3098819,
1.5687119,
1.8829858
],
[
0.0223119,
0.0531805,
0.0585422,
0.0651628,
0.0728302,
0.0821794,
0.0933694,
0.1066387,
0.1232319,
0.1427574,
0.1658107,
0.1942636,
0.2284737,
0.2692092,
0.3183346,
0.3771504,
0.4489175,
0.5347677,
0.6372813,
0.7623682,
0.9092145,
1.0923017,
1.3079514,
1.564642,
1.8776154
],
[
0.0225255,
0.0530782,
0.0585972,
0.0650689,
0.0729116,
0.0820849,
0.0933762,
0.1066998,
0.1232021,
0.1424388,
0.166384,
0.1943094,
0.2284962,
0.2692925,
0.3182991,
0.3774142,
0.4485436,
0.5339507,
0.6375105,
0.7622137,
0.9115782,
1.089808,
1.3058262,
1.5689565,
1.8804505
],
[
0.0223833,
0.0530834,
0.0585906,
0.0649774,
0.0728963,
0.0820124,
0.0931053,
0.1067652,
0.1229095,
0.1427286,
0.1658801,
0.1942803,
0.2283558,
0.2696131,
0.3190907,
0.3777605,
0.4486578,
0.5345089,
0.6383667,
0.7605131,
0.9122852,
1.0899398,
1.3045975,
1.5686364,
1.8797544
],
[
0.0224888,
0.0528427,
0.058337,
0.0649591,
0.0726343,
0.0821047,
0.0931958,
0.1064265,
0.1231013,
0.1422583,
0.1662481,
0.1942924,
0.2284615,
0.2694952,
0.3185065,
0.37828,
0.4485695,
0.5341694,
0.6383822,
0.7610969,
0.9120565,
1.0906843,
1.3066325,
1.5657659,
1.8803725
],
[
0.0226486,
0.0534941,
0.0588709,
0.065411,
0.0729714,
0.0822499,
0.0933235,
0.1066594,
0.1231611,
0.1424224,
0.1663496,
0.1943131,
0.228538,
0.269384,
0.3187386,
0.377772,
0.4491054,
0.5350851,
0.6372408,
0.7630864,
0.913511,
1.0958894,
1.3082471,
1.5706658,
1.8761235
],
[
0.0232224,
0.0536837,
0.059319,
0.0656255,
0.0734164,
0.0826635,
0.093555,
0.1068799,
0.1231055,
0.1429695,
0.1658808,
0.1943678,
0.2284162,
0.2693542,
0.3186034,
0.377515,
0.4484925,
0.5347739,
0.6359779,
0.7612386,
0.909971,
1.0920513,
1.304199,
1.5691112,
1.8778472
],
[
0.0239797,
0.0544469,
0.059546,
0.0659996,
0.0737673,
0.0828999,
0.0939835,
0.1074907,
0.1234596,
0.1432665,
0.1661465,
0.1945613,
0.2285393,
0.2697149,
0.3191307,
0.3776369,
0.4483358,
0.5334649,
0.6357975,
0.7604327,
0.9101187,
1.0874235,
1.3051791,
1.5611099,
1.8787398
],
[
0.0243756,
0.0549511,
0.0602093,
0.0666803,
0.0743852,
0.0833053,
0.0942293,
0.1078411,
0.123527,
0.1432339,
0.1664041,
0.1947794,
0.2286964,
0.2697341,
0.3191136,
0.3774878,
0.4492993,
0.5346791,
0.6378025,
0.7605581,
0.9136823,
1.0867516,
1.3009175,
1.5585717,
1.8695838
]
]
},
"timing_sense": "negative_unate",
"timing_type": "preset"
},
{
"cell_fall,delay_template13x25": {
"index_1": [
0.001,
0.00617,
0.01028,
0.01851,
0.03085,
0.05553,
0.09255,
0.16659,
0.27765,
0.49977,
0.83295,
1.16647,
1.5
],
"index_2": [
0,
0.00794,
0.00952,
0.01143,
0.01372,
0.01646,
0.01975,
0.0237,
0.02843,
0.03412,
0.04093,
0.04912,
0.05894,
0.07072,
0.08487,
0.10184,
0.12221,
0.14665,
0.17598,
0.21117,
0.25341,
0.30409,
0.36491,
0.4379,
0.52547
],
"values": [
[
0.1749495,
0.2159428,
0.2216389,
0.2278793,
0.2348824,
0.2426284,
0.2512703,
0.2608904,
0.2716051,
0.283542,
0.2967071,
0.3113945,
0.3276656,
0.3458976,
0.3665097,
0.3899665,
0.4169546,
0.4482384,
0.4845767,
0.5272599,
0.577556,
0.6372278,
0.708345,
0.7932268,
0.8949557
],
[
0.1768497,
0.217842,
0.2234618,
0.2297423,
0.236719,
0.2444645,
0.2531045,
0.2627692,
0.2734906,
0.2854058,
0.2986218,
0.3132789,
0.3295318,
0.3477831,
0.3683947,
0.3918493,
0.4188304,
0.4501273,
0.48645,
0.5291074,
0.5794528,
0.6390857,
0.7102171,
0.7950999,
0.8968271
],
[
0.1783996,
0.2194196,
0.2250786,
0.2313513,
0.2383526,
0.2460978,
0.254743,
0.2643875,
0.2750701,
0.286999,
0.3001741,
0.3148858,
0.3311554,
0.349381,
0.3699912,
0.393447,
0.420434,
0.4517088,
0.4880644,
0.5307437,
0.5810322,
0.6407186,
0.7118212,
0.796711,
0.8984517
],
[
0.1815737,
0.2226546,
0.2282687,
0.2344813,
0.2415599,
0.2492983,
0.2579243,
0.2675683,
0.2782696,
0.2901958,
0.3033631,
0.3180535,
0.3343259,
0.3525527,
0.3731675,
0.3966278,
0.4236055,
0.4549042,
0.4912203,
0.5339071,
0.5842195,
0.6438771,
0.7149988,
0.7999717,
0.9016944
],
[
0.1864209,
0.2274136,
0.2331108,
0.2393521,
0.2463559,
0.2541029,
0.2627446,
0.2723659,
0.2830816,
0.2950199,
0.308184,
0.3228725,
0.3391445,
0.3573759,
0.3779888,
0.4014456,
0.4284341,
0.459718,
0.496057,
0.5387407,
0.5890369,
0.6487093,
0.7198262,
0.8047085,
0.9064371
],
[
0.1952919,
0.2363009,
0.2419258,
0.2482407,
0.2552254,
0.2629258,
0.2715808,
0.2812376,
0.291961,
0.3038861,
0.3170685,
0.3317419,
0.3480276,
0.3662499,
0.3868706,
0.4103286,
0.437321,
0.4685745,
0.5049607,
0.5476251,
0.5979216,
0.6576097,
0.7286967,
0.8136027,
0.9153648
],
[
0.2053703,
0.2464579,
0.2519779,
0.258265,
0.2652258,
0.2729972,
0.2816443,
0.2912922,
0.3020179,
0.3139533,
0.3271176,
0.3418058,
0.3580827,
0.3763189,
0.396931,
0.4204023,
0.4473754,
0.4786213,
0.5150434,
0.5576877,
0.6079865,
0.6676711,
0.7387229,
0.8237443,
0.9254602
],
[
0.2188242,
0.2596615,
0.2651883,
0.2715887,
0.2786037,
0.2863647,
0.2950242,
0.3046886,
0.3154154,
0.3273602,
0.3405271,
0.3552179,
0.3715199,
0.3897322,
0.410343,
0.4338117,
0.4608113,
0.4920298,
0.5284748,
0.5711067,
0.6213919,
0.6810398,
0.7521607,
0.8370665,
0.9388962
],
[
0.2311892,
0.2721701,
0.2778595,
0.2841205,
0.2911295,
0.298885,
0.3075366,
0.3171639,
0.3278931,
0.3398302,
0.3530252,
0.3677131,
0.3839685,
0.4022332,
0.4228194,
0.4463287,
0.4733151,
0.5045994,
0.5409407,
0.5836207,
0.6339186,
0.6935973,
0.7647123,
0.8495991,
0.9513439
],
[
0.2442976,
0.2851284,
0.2908533,
0.2971145,
0.3040968,
0.3118402,
0.3204833,
0.3301518,
0.3408795,
0.3528071,
0.3660189,
0.3806858,
0.3970074,
0.4152286,
0.4358756,
0.4593441,
0.4863536,
0.5175628,
0.5539017,
0.5965607,
0.6468874,
0.7065348,
0.7777798,
0.8626342,
0.9644123
],
[
0.2501118,
0.2910628,
0.2965847,
0.3028603,
0.3098088,
0.3175666,
0.3262144,
0.3358586,
0.3465845,
0.3585271,
0.3717044,
0.3863933,
0.4026805,
0.4209281,
0.4415608,
0.4650451,
0.4920149,
0.5232051,
0.5596174,
0.6023173,
0.6526332,
0.7123369,
0.783381,
0.8683513,
0.9700236
],
[
0.2474791,
0.2884055,
0.2939248,
0.3001722,
0.3071207,
0.3148596,
0.3235032,
0.3331517,
0.343867,
0.3558104,
0.3689858,
0.3836693,
0.3999942,
0.4182126,
0.4388408,
0.4623052,
0.489294,
0.5205306,
0.5569725,
0.5995723,
0.6498553,
0.7095665,
0.7806767,
0.8656099,
0.9673812
],
[
0.239961,
0.2809269,
0.2865169,
0.2927823,
0.2997487,
0.3074757,
0.3161098,
0.3257734,
0.3364985,
0.3484213,
0.3616331,
0.3762965,
0.3925605,
0.4108592,
0.4314629,
0.454967,
0.481943,
0.5131793,
0.549633,
0.5922299,
0.6425436,
0.7022425,
0.7733155,
0.8583085,
0.95998
]
]
},
"cell_rise,delay_template13x25": {
"index_1": [
0.001,
0.00617,
0.01028,
0.01851,
0.03085,
0.05553,
0.09255,
0.16659,
0.27765,
0.49977,
0.83295,
1.16647,
1.5
],
"index_2": [
0,
0.00794,
0.00952,
0.01143,
0.01372,
0.01646,
0.01975,
0.0237,
0.02843,
0.03412,
0.04093,
0.04912,
0.05894,
0.07072,
0.08487,
0.10184,
0.12221,
0.14665,
0.17598,
0.21117,
0.25341,
0.30409,
0.36491,
0.4379,
0.52547
],
"values": [
[
0.1550052,
0.1885081,
0.1938126,
0.1998919,
0.2069248,
0.2151185,
0.2247047,
0.2359545,
0.2491844,
0.2648483,
0.2832619,
0.3049543,
0.3305591,
0.3607097,
0.3965981,
0.4392949,
0.4902415,
0.5510705,
0.6240923,
0.7117054,
0.8169732,
0.9441813,
1.0949875,
1.2771804,
1.4974808
],
[
0.1568358,
0.1903797,
0.1956787,
0.201767,
0.2087975,
0.2169854,
0.2265677,
0.2378244,
0.2510549,
0.2667152,
0.285136,
0.3068355,
0.3324228,
0.3625962,
0.398463,
0.4411714,
0.4921564,
0.5529644,
0.6259262,
0.7136066,
0.8189237,
0.9452372,
1.0985721,
1.2782572,
1.4951717
],
[
0.1583495,
0.1919851,
0.1972589,
0.2033269,
0.2103663,
0.2185619,
0.2281424,
0.2394007,
0.2526209,
0.2682778,
0.2866943,
0.308446,
0.3339949,
0.3641653,
0.4000237,
0.4427498,
0.4936814,
0.5544626,
0.6275467,
0.7150004,
0.8201075,
0.947747,
1.0968551,
1.2824319,
1.4971232
],
[
0.1616587,
0.1951809,
0.2004727,
0.2065457,
0.213592,
0.2217883,
0.2313753,
0.2426282,
0.2558563,
0.2715193,
0.289905,
0.3116545,
0.3372322,
0.3673635,
0.4032697,
0.4459724,
0.496921,
0.557723,
0.630779,
0.718308,
0.8234563,
0.9511306,
1.1000826,
1.2860754,
1.4987455
],
[
0.1663962,
0.19995,
0.2052188,
0.2113411,
0.2183614,
0.2265457,
0.2361275,
0.247382,
0.2606093,
0.2762616,
0.29471,
0.31642,
0.3419629,
0.3721854,
0.4080035,
0.450748,
0.5017282,
0.5625606,
0.6353405,
0.7227826,
0.8275909,
0.9546743,
1.109501,
1.2897,
1.5066198
],
[
0.1750975,
0.2087979,
0.2140619,
0.2201194,
0.2271626,
0.2353596,
0.2449407,
0.2562013,
0.2694221,
0.2850888,
0.3035079,
0.3252446,
0.3508101,
0.3809693,
0.4168527,
0.4595744,
0.5105192,
0.5713173,
0.6443986,
0.7318889,
0.8370155,
0.9644728,
1.1136465,
1.2993737,
1.5135628
],
[
0.1851126,
0.2186434,
0.2239352,
0.2300301,
0.2370587,
0.2452447,
0.2548274,
0.2660834,
0.279315,
0.2949747,
0.3134032,
0.3351076,
0.3606948,
0.3908831,
0.4267532,
0.4694757,
0.5204717,
0.5812922,
0.6542402,
0.7419406,
0.847257,
0.9732832,
1.1273739,
1.3058964,
1.5235743
],
[
0.1982636,
0.2318103,
0.2370292,
0.2431129,
0.2501795,
0.2583825,
0.2679671,
0.279234,
0.2924591,
0.3081271,
0.3265386,
0.3482872,
0.3738623,
0.4040269,
0.4399228,
0.4826564,
0.5336109,
0.594415,
0.6675062,
0.7549936,
0.8601018,
0.9875837,
1.1366275,
1.3222244,
1.5372119
],
[
0.2103793,
0.2440274,
0.2492637,
0.2553379,
0.2623947,
0.2706028,
0.2801897,
0.291457,
0.3046882,
0.3203725,
0.338795,
0.3605114,
0.3860914,
0.416273,
0.4522084,
0.4949363,
0.5459079,
0.6067629,
0.6798245,
0.7674419,
0.8727739,
0.9997224,
1.1511656,
1.3330201,
1.5541149
],
[
0.2226918,
0.2562108,
0.2615148,
0.2675951,
0.2746352,
0.2828345,
0.2924199,
0.3036851,
0.3169205,
0.3325957,
0.3510388,
0.3727939,
0.3983814,
0.4285855,
0.4644903,
0.5072637,
0.5582395,
0.6191669,
0.6919511,
0.7797925,
0.8856811,
1.0106306,
1.1663158,
1.3472026,
1.5621994
],
[
0.2269319,
0.260641,
0.2659227,
0.271984,
0.2790281,
0.2872291,
0.2968154,
0.3080834,
0.3213141,
0.3369943,
0.355435,
0.3771951,
0.4027904,
0.4329868,
0.4689039,
0.5116936,
0.5627021,
0.623579,
0.6965811,
0.784303,
0.8887014,
1.0144583,
1.1654766,
1.3478106,
1.5673135
],
[
0.223235,
0.2568659,
0.2620914,
0.2681761,
0.2752421,
0.2834407,
0.2930406,
0.3043088,
0.3175507,
0.3332345,
0.3516579,
0.373416,
0.3990432,
0.429231,
0.4651769,
0.5079328,
0.5589302,
0.6198901,
0.6928596,
0.7807949,
0.8865878,
1.0129211,
1.1628545,
1.343275,
1.5614139
],
[
0.2136651,
0.247256,
0.2525402,
0.2586752,
0.2657086,
0.2739067,
0.2835012,
0.2947718,
0.3080127,
0.3236848,
0.342171,
0.3639192,
0.3894857,
0.4197725,
0.4556476,
0.4984425,
0.5494366,
0.6102882,
0.6833502,
0.7708024,
0.8762838,
1.002932,
1.1555256,
1.3368782,
1.5524312
]
]
},
"fall_transition,delay_template13x25": {
"index_1": [
0.001,
0.00617,
0.01028,
0.01851,
0.03085,
0.05553,
0.09255,
0.16659,
0.27765,
0.49977,
0.83295,
1.16647,
1.5
],
"index_2": [
0,
0.00794,
0.00952,
0.01143,
0.01372,
0.01646,
0.01975,
0.0237,
0.02843,
0.03412,
0.04093,
0.04912,
0.05894,
0.07072,
0.08487,
0.10184,
0.12221,
0.14665,
0.17598,
0.21117,
0.25341,
0.30409,
0.36491,
0.4379,
0.52547
],
"values": [
[
0.0330717,
0.059966,
0.0637211,
0.0681869,
0.0731713,
0.0788332,
0.0850165,
0.0922219,
0.1000582,
0.1090258,
0.1190824,
0.1310947,
0.145099,
0.1612627,
0.1808241,
0.204339,
0.2329352,
0.2662794,
0.3072839,
0.3579953,
0.4186367,
0.4931776,
0.5843687,
0.6956093,
0.8307371
],
[
0.0330211,
0.0600014,
0.0638898,
0.0680429,
0.0731352,
0.0787228,
0.0851429,
0.0921663,
0.1000467,
0.1089029,
0.1192213,
0.1310721,
0.145088,
0.1613559,
0.1808769,
0.2042172,
0.2328029,
0.2664372,
0.3074934,
0.358076,
0.4189801,
0.4931075,
0.5843976,
0.6955108,
0.8307208
],
[
0.0330952,
0.059876,
0.063904,
0.0681588,
0.0732104,
0.0787933,
0.0850396,
0.0921741,
0.1000403,
0.1090134,
0.1191643,
0.1310795,
0.145162,
0.1613447,
0.1808693,
0.2041483,
0.232952,
0.2661571,
0.3074339,
0.3580141,
0.4186483,
0.4933362,
0.5843567,
0.6957392,
0.8306404
],
[
0.0330069,
0.05996,
0.0639278,
0.0681562,
0.0732767,
0.0787075,
0.0851135,
0.0921803,
0.1001224,
0.109318,
0.1190661,
0.1310703,
0.1451304,
0.1612575,
0.1810298,
0.2039686,
0.2326744,
0.2664217,
0.307342,
0.3579994,
0.4187025,
0.4931684,
0.5843753,
0.6951892,
0.829363
],
[
0.0330661,
0.0599689,
0.0637183,
0.0681872,
0.0731677,
0.0788366,
0.0850175,
0.0922224,
0.1000606,
0.1090271,
0.119069,
0.1310953,
0.1451074,
0.161251,
0.1808194,
0.2043752,
0.2329333,
0.2662788,
0.3072872,
0.3579919,
0.4186336,
0.4931769,
0.5843679,
0.6956044,
0.8307394
],
[
0.0329189,
0.0599971,
0.0637099,
0.0681733,
0.0731283,
0.0788207,
0.0849783,
0.0921094,
0.1000122,
0.1091547,
0.1190398,
0.1310997,
0.1451948,
0.1613152,
0.1807842,
0.2046513,
0.2329543,
0.2661129,
0.3076041,
0.3580086,
0.4187412,
0.4936106,
0.5841867,
0.6957553,
0.8303727
],
[
0.0329171,
0.059916,
0.0638252,
0.0681573,
0.0730323,
0.0787463,
0.0850341,
0.0921561,
0.1001436,
0.1090346,
0.1191322,
0.1310685,
0.1451038,
0.1613566,
0.1808495,
0.2049328,
0.2318722,
0.2662257,
0.3076912,
0.3578799,
0.4188094,
0.4940878,
0.5834416,
0.69523,
0.8295913
],
[
0.0328355,
0.059804,
0.0637538,
0.06805,
0.0730533,
0.0787743,
0.0851535,
0.0920796,
0.10033,
0.1091256,
0.1189866,
0.1310827,
0.1448018,
0.1610795,
0.1813338,
0.2048893,
0.2324834,
0.2662458,
0.3075142,
0.3574354,
0.418673,
0.4945329,
0.584737,
0.6937716,
0.8287558
],
[
0.0331134,
0.0600164,
0.0637865,
0.0682805,
0.0732575,
0.0789491,
0.0850604,
0.0922369,
0.1000804,
0.1091338,
0.1191306,
0.1309871,
0.1446437,
0.1612378,
0.1810896,
0.2041624,
0.2327186,
0.2664836,
0.3073908,
0.3579848,
0.4186843,
0.4931933,
0.5843791,
0.6957158,
0.8306312
],
[
0.0329712,
0.0600364,
0.0638693,
0.0682819,
0.0732206,
0.0786081,
0.0852032,
0.0922101,
0.1002465,
0.109199,
0.1191835,
0.1311386,
0.1448806,
0.1613707,
0.1804296,
0.2026881,
0.2314597,
0.2654676,
0.3063057,
0.3570218,
0.4182708,
0.4933226,
0.5839773,
0.6954725,
0.8310219
],
[
0.0328978,
0.0599022,
0.0638388,
0.0681694,
0.0731231,
0.0788018,
0.0851887,
0.092194,
0.1000603,
0.109015,
0.1191178,
0.130909,
0.144905,
0.1604901,
0.1798842,
0.2037303,
0.2322286,
0.2663336,
0.3075753,
0.3576615,
0.418887,
0.4920157,
0.5835855,
0.693901,
0.8303702
],
[
0.0329385,
0.0600302,
0.0639137,
0.0682679,
0.0730768,
0.0788555,
0.0850745,
0.0922419,
0.1001631,
0.109066,
0.1190549,
0.1308023,
0.1448191,
0.1610969,
0.1805911,
0.2047768,
0.2326546,
0.2655393,
0.3063498,
0.3567881,
0.4182439,
0.4934665,
0.584406,
0.69453,
0.825996
],
[
0.0332269,
0.0600692,
0.0639124,
0.0681648,
0.0732227,
0.078811,
0.0852074,
0.0922405,
0.1001087,
0.1089686,
0.1192792,
0.1309013,
0.1445736,
0.1611423,
0.1814667,
0.2044032,
0.2322223,
0.2659335,
0.3070857,
0.3569562,
0.4184921,
0.4928817,
0.5849818,
0.6959545,
0.8301658
]
]
},
"related_pin": "CLK",
"rise_transition,delay_template13x25": {
"index_1": [
0.001,
0.00617,
0.01028,
0.01851,
0.03085,
0.05553,
0.09255,
0.16659,
0.27765,
0.49977,
0.83295,
1.16647,
1.5
],
"index_2": [
0,
0.00794,
0.00952,
0.01143,
0.01372,
0.01646,
0.01975,
0.0237,
0.02843,
0.03412,
0.04093,
0.04912,
0.05894,
0.07072,
0.08487,
0.10184,
0.12221,
0.14665,
0.17598,
0.21117,
0.25341,
0.30409,
0.36491,
0.4379,
0.52547
],
"values": [
[
0.019137,
0.050487,
0.056129,
0.06308,
0.0713546,
0.0811713,
0.0928239,
0.1068948,
0.1238507,
0.143262,
0.1673985,
0.1952806,
0.2291582,
0.2702122,
0.319491,
0.3774497,
0.4483958,
0.5344779,
0.6371649,
0.7623047,
0.914412,
1.0939943,
1.3115364,
1.5712165,
1.8853075
],
[
0.0191274,
0.0504657,
0.0561058,
0.0630591,
0.071338,
0.0811827,
0.0930142,
0.1068811,
0.1238092,
0.1431895,
0.1673988,
0.1952906,
0.2290955,
0.2702079,
0.3194207,
0.3773473,
0.4485819,
0.5341477,
0.6373151,
0.7607152,
0.9126349,
1.0915379,
1.315678,
1.5753283,
1.887507
],
[
0.019339,
0.0504312,
0.056169,
0.0629261,
0.0711941,
0.0809967,
0.0929254,
0.1067232,
0.123721,
0.1436683,
0.1669855,
0.1951982,
0.2292112,
0.2700966,
0.3193636,
0.3773001,
0.4482219,
0.5330433,
0.6383414,
0.7630048,
0.9121716,
1.0940573,
1.3110659,
1.567501,
1.8871977
],
[
0.019167,
0.0504672,
0.0562474,
0.0629829,
0.0713528,
0.081075,
0.0931302,
0.1067823,
0.1236606,
0.143524,
0.1673086,
0.1952172,
0.2292376,
0.2701729,
0.319455,
0.3776532,
0.4476722,
0.5335444,
0.638241,
0.7635302,
0.9133692,
1.0953407,
1.3125212,
1.5742058,
1.8878858
],
[
0.0191669,
0.0503883,
0.0561108,
0.0630159,
0.071323,
0.0812175,
0.092755,
0.1069098,
0.1238201,
0.1434736,
0.1668952,
0.1952407,
0.2292876,
0.2699024,
0.3184456,
0.3775918,
0.4485249,
0.5347334,
0.636337,
0.7633693,
0.9134916,
1.0957456,
1.3076156,
1.5727508,
1.8840307
],
[
0.0193368,
0.0504199,
0.056115,
0.0629063,
0.0711782,
0.0811088,
0.0930765,
0.1068514,
0.123468,
0.1436128,
0.1672051,
0.1951066,
0.2292419,
0.2701364,
0.3194089,
0.3775528,
0.4479065,
0.5333352,
0.638298,
0.7632957,
0.9127045,
1.0947305,
1.3115762,
1.5754393,
1.8875039
],
[
0.0191358,
0.0504505,
0.0561141,
0.0630516,
0.0713366,
0.0812142,
0.0928383,
0.1069099,
0.1238256,
0.1432619,
0.1673893,
0.1952882,
0.2291224,
0.2701935,
0.3193728,
0.3772558,
0.448536,
0.5337547,
0.6377008,
0.7612075,
0.9138689,
1.0936267,
1.3151535,
1.5757395,
1.8864637
],
[
0.0194108,
0.0504156,
0.0560718,
0.0630941,
0.0711732,
0.0811092,
0.0931368,
0.1068127,
0.1234863,
0.1435959,
0.167263,
0.1951649,
0.2292549,
0.2701487,
0.3194116,
0.3774796,
0.4480117,
0.5331127,
0.638316,
0.763169,
0.9124329,
1.0938845,
1.3106388,
1.5676797,
1.8871316
],
[
0.0193477,
0.0503866,
0.0561275,
0.0629585,
0.0711972,
0.081126,
0.0929258,
0.1068515,
0.1239048,
0.1432311,
0.1667849,
0.1952891,
0.2291784,
0.270225,
0.3194986,
0.3774825,
0.4484836,
0.5345379,
0.6364931,
0.761779,
0.9141482,
1.0929541,
1.313733,
1.5729531,
1.8870716
],
[
0.0191735,
0.0505243,
0.0561257,
0.0631393,
0.0713374,
0.0811002,
0.093127,
0.106961,
0.1234691,
0.14371,
0.1672248,
0.1951969,
0.2292984,
0.2700438,
0.3193745,
0.3772259,
0.4481383,
0.5338434,
0.6385315,
0.762759,
0.9136141,
1.0923069,
1.3112854,
1.5727922,
1.8880579
],
[
0.0194431,
0.0505066,
0.05623,
0.0629674,
0.0712361,
0.0811863,
0.0931275,
0.1069122,
0.1235159,
0.1436679,
0.1672499,
0.1951708,
0.2292462,
0.2702531,
0.3193088,
0.3773264,
0.4486369,
0.5332056,
0.6377112,
0.7594256,
0.9086628,
1.087937,
1.3066922,
1.5675283,
1.8804172
],
[
0.0193764,
0.0505167,
0.0561092,
0.0630455,
0.0713051,
0.0813222,
0.0931283,
0.1068392,
0.123793,
0.1434605,
0.1674322,
0.195306,
0.2292368,
0.2702539,
0.3198831,
0.3783787,
0.448467,
0.5340686,
0.6374777,
0.7607467,
0.9095973,
1.0882872,
1.3044744,
1.5615634,
1.8742226
],
[
0.0193204,
0.0505273,
0.0562407,
0.0631276,
0.0714422,
0.0813647,
0.0929025,
0.1070223,
0.1238883,
0.1436285,
0.1669536,
0.195259,
0.2293135,
0.2699705,
0.3192542,
0.3772817,
0.4483395,
0.5340705,
0.6379007,
0.7625741,
0.9112694,
1.0892616,
1.3068092,
1.5614612,
1.8716479
]
]
},
"timing_sense": "non_unate",
"timing_type": "rising_edge"
}
]
},
"pin,RESET_B": {
"capacitance": 0.005975,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.005926,
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.001,
0.00617,
0.01028,
0.01851,
0.03085,
0.05553,
0.09255,
0.16659,
0.27765,
0.49977,
0.83295,
1.16647,
1.5
],
"values": [
0.009564,
0.009459,
0.0093755,
0.0093584,
0.0093327,
0.0092941,
0.009236,
0.0092245,
0.009207,
0.0092247,
0.0092513,
0.0092779,
0.0093046
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.001,
0.00617,
0.01028,
0.01851,
0.03085,
0.05553,
0.09255,
0.16659,
0.27765,
0.49977,
0.83295,
1.16647,
1.5
],
"values": [
-0.0092765,
-0.0092617,
-0.0092499,
-0.0092522,
-0.0092558,
-0.0092394,
-0.0092148,
-0.0092291,
-0.0092505,
-0.0092571,
-0.009267,
-0.0092769,
-0.0092868
]
}
},
"max_transition": 1.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.006024,
"timing": [
{
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.1699208,
0.177194,
0.1830803,
0.1998982,
0.2293944,
0.2758399,
0.3048873,
0.3223289,
0.3336423,
0.3490188
],
[
0.1625647,
0.1698028,
0.1758148,
0.1924189,
0.222098,
0.2685402,
0.2917295,
0.3150305,
0.3322034,
0.3493761
],
[
0.1510275,
0.1582812,
0.1698326,
0.1866901,
0.2163445,
0.2568283,
0.2858526,
0.3091495,
0.3204638,
0.3307741
],
[
0.1452505,
0.1523587,
0.1585221,
0.1750095,
0.2046901,
0.245236,
0.2746229,
0.2978953,
0.315236,
0.325285
],
[
0.1453094,
0.146934,
0.1583869,
0.1699855,
0.1991131,
0.2452745,
0.2746576,
0.2921015,
0.3091776,
0.3201396
],
[
0.1456081,
0.1528812,
0.1643653,
0.1760154,
0.2112351,
0.2636719,
0.2870836,
0.3038768,
0.326909,
0.332363
],
[
0.1577322,
0.1650053,
0.1708919,
0.1876333,
0.2233208,
0.2699272,
0.3046875,
0.3277197,
0.3448924,
0.3503465
],
[
0.1757157,
0.1771292,
0.1888751,
0.1998276,
0.2345724,
0.2867137,
0.3168162,
0.3340449,
0.3511572,
0.3624706
],
[
0.1878398,
0.1951127,
0.2068581,
0.2178051,
0.2531072,
0.2980703,
0.3283754,
0.3636866,
0.375,
0.3863134
],
[
0.2058232,
0.2130961,
0.2248412,
0.235782,
0.271148,
0.3169696,
0.3519213,
0.3756974,
0.3871241,
0.3984375
]
]
},
"timing_type": "recovery_rising"
},
{
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.1523436,
-0.1596175,
-0.1655031,
-0.1822991,
-0.2118169,
-0.2523801,
-0.2814558,
-0.2988914,
-0.3043455,
-0.3156589
],
[
-0.1450539,
-0.1523266,
-0.1581699,
-0.1748259,
-0.2045194,
-0.2450838,
-0.2741572,
-0.291593,
-0.3029065,
-0.3142199
],
[
-0.1396397,
-0.1410363,
-0.1524472,
-0.1690857,
-0.1990323,
-0.2395871,
-0.2626069,
-0.285713,
-0.2970263,
-0.3083402
],
[
-0.1336343,
-0.1408406,
-0.1468058,
-0.1633324,
-0.1930592,
-0.2341176,
-0.2572844,
-0.2805724,
-0.2918898,
-0.3031863
],
[
-0.1334948,
-0.1409658,
-0.1467763,
-0.1642401,
-0.1932983,
-0.2342745,
-0.2569743,
-0.2742418,
-0.2917417,
-0.3030265
],
[
-0.1397488,
-0.1470219,
-0.1529041,
-0.1700247,
-0.1996241,
-0.2519531,
-0.2691259,
-0.2986414,
-0.303484,
-0.3147849
],
[
-0.1518728,
-0.1532866,
-0.1650323,
-0.1818449,
-0.2108697,
-0.2640702,
-0.293016,
-0.3101416,
-0.3214549,
-0.3327684
],
[
-0.1639969,
-0.1712701,
-0.1771421,
-0.1939665,
-0.2228025,
-0.275183,
-0.3050928,
-0.328125,
-0.3394384,
-0.3507518
],
[
-0.1819804,
-0.1833941,
-0.1951391,
-0.2119434,
-0.2414483,
-0.293956,
-0.3221859,
-0.3578272,
-0.3691406,
-0.380454
],
[
-0.1999639,
-0.2013776,
-0.2131222,
-0.2299198,
-0.2594265,
-0.3102655,
-0.3458403,
-0.369226,
-0.3754053,
-0.3984375
]
]
},
"timing_type": "removal_rising"
}
]
},
"pin,SCD": {
"capacitance": 0.001918,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.001845,
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.001,
0.00617,
0.01028,
0.01851,
0.03085,
0.05553,
0.09255,
0.16659,
0.27765,
0.49977,
0.83295,
1.16647,
1.5
],
"values": [
0.0032079,
0.0031088,
0.0030299,
0.0030293,
0.0030284,
0.0030232,
0.0030155,
0.003021,
0.0030293,
0.0030338,
0.0030407,
0.0030476,
0.0030545
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.001,
0.00617,
0.01028,
0.01851,
0.03085,
0.05553,
0.09255,
0.16659,
0.27765,
0.49977,
0.83295,
1.16647,
1.5
],
"values": [
-0.0029459,
-0.0029535,
-0.0029596,
-0.0029671,
-0.0029785,
-0.0029818,
-0.0029869,
-0.0029868,
-0.0029867,
-0.0029929,
-0.0030021,
-0.0030114,
-0.0030206
]
}
},
"max_transition": 1.0,
"nextstate_type": "scan_in",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.001991,
"timing": [
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.2870967,
0.2942458,
0.3121305,
0.3354478,
0.3938332,
0.5042985,
0.5972835,
0.6832169,
0.7609395,
0.8335172
],
[
0.273939,
0.2869473,
0.2989726,
0.3281493,
0.3864637,
0.4911406,
0.5899851,
0.6700591,
0.7514467,
0.8203593
],
[
0.2626022,
0.2756212,
0.2876467,
0.3168233,
0.3748409,
0.4797669,
0.5786582,
0.6643713,
0.7394871,
0.8239299
],
[
0.2507452,
0.2635022,
0.2756207,
0.3047705,
0.3631018,
0.4678143,
0.5665044,
0.6469178,
0.7276385,
0.7966914
],
[
0.2391155,
0.2519745,
0.2698996,
0.2932567,
0.3515625,
0.4621432,
0.5551248,
0.6350624,
0.716218,
0.7930827
],
[
0.2393474,
0.2465636,
0.2638172,
0.2935767,
0.3519678,
0.4568929,
0.5495343,
0.6354677,
0.7166657,
0.7924979
],
[
0.2339532,
0.2527618,
0.2706459,
0.2939462,
0.3519565,
0.463296,
0.5625,
0.6475918,
0.7344457,
0.8096108
],
[
0.2460174,
0.2531671,
0.2769106,
0.3002279,
0.3585369,
0.4691906,
0.5687647,
0.6621094,
0.7378759,
0.8098181
],
[
0.2581415,
0.2652913,
0.2831753,
0.3123519,
0.3707172,
0.4816039,
0.5808268,
0.6741716,
0.7441406,
0.8257666
],
[
0.276125,
0.2832743,
0.2952994,
0.324476,
0.3828861,
0.4934351,
0.5929482,
0.6859785,
0.7562647,
0.8378906
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.1581882,
0.1656378,
0.1712554,
0.1948,
0.2297958,
0.2761871,
0.3110154,
0.3340478,
0.3438467,
0.3625342
],
[
0.1507986,
0.1583409,
0.1639302,
0.1874943,
0.2225367,
0.2688889,
0.2978576,
0.3267492,
0.343922,
0.3552355
],
[
0.1392448,
0.1465778,
0.1582533,
0.1756991,
0.2169308,
0.2630345,
0.2919863,
0.3150099,
0.3380417,
0.3428528
],
[
0.1334615,
0.1407504,
0.1465038,
0.1698855,
0.2051274,
0.2517302,
0.2865337,
0.3096919,
0.3270334,
0.324461
],
[
0.1277087,
0.135178,
0.140929,
0.1642344,
0.1992188,
0.2456471,
0.2800277,
0.3035724,
0.3151698,
0.3264251
],
[
0.1338755,
0.141325,
0.1527965,
0.1703007,
0.2054835,
0.2636719,
0.2870179,
0.3038768,
0.326909,
0.332363
],
[
0.1459996,
0.153449,
0.1649241,
0.1826163,
0.2234211,
0.275796,
0.2988281,
0.3277197,
0.3390331,
0.3444871
],
[
0.1581237,
0.1655728,
0.1770215,
0.2005982,
0.235591,
0.28792,
0.322671,
0.3339844,
0.3511572,
0.3624706
],
[
0.1819665,
0.1835561,
0.195025,
0.2185792,
0.2535745,
0.3059035,
0.3347951,
0.3636866,
0.3691406,
0.3980322
],
[
0.19995,
0.2073988,
0.2130056,
0.2365601,
0.2715579,
0.323887,
0.3526975,
0.3758107,
0.3988428,
0.3984375
]
]
},
"timing_type": "setup_rising"
},
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.152337,
-0.1594948,
-0.1773567,
-0.2065624,
-0.2590466,
-0.3518118,
-0.437815,
-0.5062806,
-0.5694357,
-0.6325935
],
[
-0.1508784,
-0.1580362,
-0.1759197,
-0.2051407,
-0.2575881,
-0.3562128,
-0.4361264,
-0.5048222,
-0.5738365,
-0.6311351
],
[
-0.1455778,
-0.1583817,
-0.1705968,
-0.1993637,
-0.2578469,
-0.3567713,
-0.4361771,
-0.5110244,
-0.5741792,
-0.6373371
],
[
-0.1511875,
-0.1581604,
-0.176268,
-0.2053823,
-0.2576408,
-0.3566181,
-0.4421669,
-0.5107831,
-0.5797982,
-0.6429562
],
[
-0.1574281,
-0.1524455,
-0.1703418,
-0.1993612,
-0.2577163,
-0.3626398,
-0.4423405,
-0.5112903,
-0.5803049,
-0.643463
],
[
-0.1398018,
-0.1645376,
-0.1757399,
-0.1939674,
-0.2582178,
-0.375187,
-0.4544646,
-0.517967,
-0.5978809,
-0.6558356
],
[
-0.1460067,
-0.1707424,
-0.1768857,
-0.2060817,
-0.2585142,
-0.3812647,
-0.46875,
-0.5447016,
-0.6282981,
-0.7024351
],
[
-0.1757089,
-0.1770071,
-0.1890098,
-0.2182155,
-0.2766066,
-0.3932032,
-0.4867335,
-0.5683594,
-0.6382666,
-0.7257518
],
[
-0.1761142,
-0.1832719,
-0.2011339,
-0.2244803,
-0.2887307,
-0.3963304,
-0.4917041,
-0.5746241,
-0.6503906,
-0.7384481
],
[
-0.1940977,
-0.1953959,
-0.2073986,
-0.2424637,
-0.2949485,
-0.4106974,
-0.5031784,
-0.5981263,
-0.6799634,
-0.7441406
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.0937401,
-0.1011892,
-0.1126428,
-0.1303527,
-0.1653433,
-0.2000639,
-0.2231249,
-0.234438,
-0.2457514,
-0.2451577
],
[
-0.0923013,
-0.0938854,
-0.1052398,
-0.1289047,
-0.1579416,
-0.1986245,
-0.221686,
-0.2329995,
-0.2443128,
-0.2437193
],
[
-0.086525,
-0.0939018,
-0.1053393,
-0.1231502,
-0.1579915,
-0.1927449,
-0.2158081,
-0.2329833,
-0.2446815,
-0.2499735
],
[
-0.0864345,
-0.0942015,
-0.0995766,
-0.1230997,
-0.1521411,
-0.1929712,
-0.2207117,
-0.2391188,
-0.2445566,
-0.2497756
],
[
-0.0865958,
-0.0940448,
-0.0997771,
-0.1232071,
-0.1582031,
-0.1984105,
-0.2219504,
-0.239127,
-0.2565864,
-0.2559141
],
[
-0.0928605,
-0.1003094,
-0.1059219,
-0.1292555,
-0.1644678,
-0.2167949,
-0.239829,
-0.2629063,
-0.2807567,
-0.2737693
],
[
-0.1049846,
-0.112433,
-0.12386,
-0.141592,
-0.1765919,
-0.2347803,
-0.252099,
-0.2749853,
-0.2862987,
-0.2917527
],
[
-0.1229681,
-0.1245568,
-0.1360199,
-0.1595721,
-0.1945754,
-0.2469044,
-0.2816553,
-0.2929688,
-0.3101416,
-0.3097362
],
[
-0.1409515,
-0.1425401,
-0.154,
-0.1773836,
-0.2125588,
-0.2590285,
-0.2937794,
-0.322671,
-0.3337056,
-0.3335791
],
[
-0.158935,
-0.1605235,
-0.171981,
-0.1896618,
-0.2246829,
-0.2826984,
-0.3117629,
-0.3345318,
-0.3573662,
-0.3629463
]
]
},
"timing_type": "hold_rising"
}
]
},
"pin,SCE": {
"capacitance": 0.004141,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.003996,
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.001,
0.00617,
0.01028,
0.01851,
0.03085,
0.05553,
0.09255,
0.16659,
0.27765,
0.49977,
0.83295,
1.16647,
1.5
],
"values": [
0.0130364,
0.0128176,
0.0126436,
0.012504,
0.0122946,
0.0121898,
0.0120325,
0.0120329,
0.0120334,
0.0123124,
0.012731,
0.0131499,
0.013569
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.001,
0.00617,
0.01028,
0.01851,
0.03085,
0.05553,
0.09255,
0.16659,
0.27765,
0.49977,
0.83295,
1.16647,
1.5
],
"values": [
4.857942e-05,
-2.4340243e-05,
-8.2320703e-05,
-0.0001706,
-0.0003031,
-0.000425,
-0.000608,
-0.0006542,
-0.0007237,
-0.0005126,
-0.0001959,
0.0001211,
0.0004382
]
}
},
"max_transition": 1.0,
"nextstate_type": "scan_enable",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.004286,
"timing": [
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.2402041,
0.2533058,
0.2647884,
0.294451,
0.352822,
0.4579055,
0.5450898,
0.6255989,
0.7014542,
0.7749487
],
[
0.2329056,
0.2460073,
0.2574899,
0.2871525,
0.339727,
0.450607,
0.5377913,
0.6182025,
0.6939092,
0.7653919
],
[
0.2212785,
0.2346654,
0.2462134,
0.2758801,
0.3341971,
0.4392813,
0.5265346,
0.6066941,
0.6822545,
0.7599428
],
[
0.209921,
0.2168356,
0.2341795,
0.2639223,
0.316328,
0.4276875,
0.5144463,
0.5949221,
0.6642571,
0.7480018
],
[
0.1981736,
0.2112416,
0.2225378,
0.2521558,
0.304719,
0.4158723,
0.5027946,
0.5833235,
0.6529926,
0.7359408
],
[
0.1924631,
0.2057288,
0.2173759,
0.2467017,
0.3049222,
0.4101562,
0.5031999,
0.577976,
0.6588503,
0.7287066
],
[
0.1928602,
0.2059619,
0.2174446,
0.2462314,
0.3054276,
0.4105616,
0.5038459,
0.5840106,
0.6592012,
0.7398704
],
[
0.1991249,
0.2122266,
0.2237091,
0.2533718,
0.3117629,
0.4159929,
0.510171,
0.5976563,
0.6675634,
0.74333
],
[
0.211249,
0.2184914,
0.2358324,
0.2654959,
0.3180276,
0.4289504,
0.522295,
0.6038803,
0.6796875,
0.7613134
],
[
0.2233731,
0.2364747,
0.2479566,
0.27762,
0.3301517,
0.4402308,
0.534212,
0.6101857,
0.6918116,
0.7675781
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.140615,
0.1478846,
0.1533249,
0.1770168,
0.2120693,
0.2579068,
0.2875774,
0.3047502,
0.321923,
0.3215176
],
[
0.1333197,
0.1404767,
0.146073,
0.1698191,
0.2046711,
0.2506096,
0.2801908,
0.2974531,
0.3087667,
0.3142208
],
[
0.1216916,
0.1289592,
0.1401164,
0.1640093,
0.1992946,
0.2388709,
0.2747707,
0.2919497,
0.3028832,
0.3086429
],
[
0.115871,
0.1231471,
0.1284772,
0.1521252,
0.1873148,
0.2332299,
0.2630134,
0.2802758,
0.2971771,
0.2967714
],
[
0.1100384,
0.1174326,
0.1292103,
0.1466582,
0.1816406,
0.2281583,
0.257226,
0.2743176,
0.2857629,
0.2911259
],
[
0.1163031,
0.1235728,
0.134872,
0.1581603,
0.1879053,
0.234375,
0.2632665,
0.2747534,
0.2858934,
0.2913474
],
[
0.1284271,
0.1356969,
0.1469962,
0.1707168,
0.1999084,
0.2463192,
0.2695313,
0.2925587,
0.2978814,
0.3093309
],
[
0.1464106,
0.1536803,
0.16498,
0.1828433,
0.2178848,
0.2578273,
0.2816553,
0.3046875,
0.3160009,
0.3155956
],
[
0.1643941,
0.1716637,
0.1829638,
0.2008272,
0.2358479,
0.2765874,
0.2996388,
0.3168116,
0.3279465,
0.3394384
],
[
0.1823775,
0.1896472,
0.2009475,
0.2246711,
0.2596915,
0.2945613,
0.317326,
0.3347951,
0.3519678,
0.3574144
]
]
},
"timing_type": "setup_rising"
},
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.1289056,
-0.1361637,
-0.1535047,
-0.1830981,
-0.2356221,
-0.3285968,
-0.402866,
-0.4657474,
-0.5287424,
-0.5916529
],
[
-0.1274413,
-0.1346995,
-0.1520404,
-0.1756914,
-0.2341579,
-0.3270856,
-0.4014019,
-0.4701425,
-0.5272784,
-0.5960484
],
[
-0.1221567,
-0.1293188,
-0.1467565,
-0.1757027,
-0.2286816,
-0.3217598,
-0.4017385,
-0.4704787,
-0.5276141,
-0.5963841
],
[
-0.1217803,
-0.1289356,
-0.1404313,
-0.1699848,
-0.2284257,
-0.3276416,
-0.4014581,
-0.470233,
-0.5332285,
-0.6019986
],
[
-0.1100458,
-0.117266,
-0.1349998,
-0.1583258,
-0.2167346,
-0.3155964,
-0.4021325,
-0.4649075,
-0.5340497,
-0.6086766
],
[
-0.1045918,
-0.1177093,
-0.1291909,
-0.1577194,
-0.211281,
-0.3222656,
-0.4076508,
-0.4828559,
-0.5395943,
-0.6087769
],
[
-0.1108565,
-0.1239736,
-0.1354556,
-0.1650758,
-0.2234669,
-0.3280806,
-0.421875,
-0.4977526,
-0.5675338,
-0.6221422
],
[
-0.1171212,
-0.1302374,
-0.1475793,
-0.1713405,
-0.2297316,
-0.3331881,
-0.4281397,
-0.5039063,
-0.5855322,
-0.6730371
],
[
-0.1292453,
-0.1423619,
-0.1597034,
-0.189324,
-0.241822,
-0.3469191,
-0.4339548,
-0.510171,
-0.5917969,
-0.6499853
],
[
-0.1472288,
-0.1544862,
-0.1718275,
-0.2014481,
-0.2539449,
-0.3589346,
-0.4523879,
-0.5209928,
-0.603921,
-0.6855469
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.0761668,
-0.0834383,
-0.0947295,
-0.1125927,
-0.1417542,
-0.1700129,
-0.1879685,
-0.1934225,
-0.193017,
-0.1926116
],
[
-0.0689187,
-0.075999,
-0.0872666,
-0.1110117,
-0.1402208,
-0.1686153,
-0.1864527,
-0.1919837,
-0.1915784,
-0.1911732
],
[
-0.068806,
-0.07606,
-0.087287,
-0.1052802,
-0.1345597,
-0.168556,
-0.186925,
-0.192378,
-0.1978305,
-0.1915665
],
[
-0.0629887,
-0.0704553,
-0.0821188,
-0.1051242,
-0.1346371,
-0.168645,
-0.1870248,
-0.1978775,
-0.1978892,
-0.1975056
],
[
-0.0631634,
-0.070435,
-0.0821625,
-0.1056689,
-0.1347656,
-0.169645,
-0.1929215,
-0.2040606,
-0.2094588,
-0.2089421
],
[
-0.0752875,
-0.0766997,
-0.0879966,
-0.1113281,
-0.1467053,
-0.1816065,
-0.1988134,
-0.2159862,
-0.2214719,
-0.2213729
],
[
-0.0874116,
-0.0946831,
-0.1059802,
-0.1296957,
-0.1588599,
-0.1937466,
-0.2167969,
-0.2281103,
-0.2394237,
-0.2390184
],
[
-0.1053951,
-0.1126665,
-0.118105,
-0.1418232,
-0.1710305,
-0.2117327,
-0.228921,
-0.2460938,
-0.2515478,
-0.2628612
],
[
-0.1233785,
-0.13065,
-0.1419481,
-0.1600271,
-0.1889721,
-0.2293506,
-0.2469044,
-0.2640772,
-0.2753906,
-0.2749853
],
[
-0.1472214,
-0.1486334,
-0.1540724,
-0.1777934,
-0.206956,
-0.2414723,
-0.2648444,
-0.2762013,
-0.2931272,
-0.2928559
]
]
},
"timing_type": "hold_rising"
}
]
},
"test_cell": {
"ff,IQ,IQ_N": {
"clear": "!RESET_B",
"clocked_on": "CLK",
"next_state": "D"
},
"pin,CLK": {
"direction": "input",
"max_transition": 1.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR"
},
"pin,D": {
"direction": "input",
"max_transition": 1.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR"
},
"pin,Q": {
"direction": "output",
"function": "IQ",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"signal_type": "test_scan_out"
},
"pin,Q_N": {
"direction": "output",
"function": "IQ_N",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"signal_type": "test_scan_out_inverted"
},
"pin,RESET_B": {
"direction": "input",
"max_transition": 1.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR"
},
"pin,SCD": {
"direction": "input",
"max_transition": 1.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"signal_type": "test_scan_in"
},
"pin,SCE": {
"direction": "input",
"max_transition": 1.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"signal_type": "test_scan_enable"
}
}
}