blob: a2c546a66c1d4182b8a549e47ca46179610942ed [file] [log] [blame]
{
"description": "Bus driver (pmoshvt devices).",
"file_prefix": "sky130_fd_sc_lp__busdriver",
"library": "sky130_fd_sc_lp",
"name": "busdriver",
"parameters": [],
"ports": [
[
"signal",
"Z",
"output",
""
],
[
"signal",
"A",
"input",
""
],
[
"signal",
"TE_B",
"input",
""
],
[
"power",
"VPWR",
"input",
"supply1"
],
[
"power",
"VGND",
"input",
"supply0"
],
[
"power",
"VPB",
"input",
"supply1"
],
[
"power",
"VNB",
"input",
"supply0"
]
],
"type": "cell",
"verilog_name": "sky130_fd_sc_lp__busdriver"
}