blob: 4de36ccc81693e243e17c0995d1a4b88a1234b94 [file] [log] [blame]
# Copyright 2020 The SkyWater PDK Authors
#
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
# You may obtain a copy of the License at
#
# https://www.apache.org/licenses/LICENSE-2.0
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS,
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
# See the License for the specific language governing permissions and
# limitations under the License.
#
# SPDX-License-Identifier: Apache-2.0
VERSION 5.7 ;
NAMESCASESENSITIVE ON ;
NOWIREEXTENSIONATPIN ON ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
UNITS
DATABASE MICRONS 200 ;
END UNITS
MACRO sky130_fd_sc_lp__and2_lp2
CLASS CORE ;
SOURCE USER ;
FOREIGN sky130_fd_sc_lp__and2_lp2 ;
ORIGIN 0.000000 0.000000 ;
SIZE 2.400000 BY 3.330000 ;
SYMMETRY X Y R90 ;
SITE unit ;
PIN A
ANTENNAGATEAREA 0.313000 ;
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 1.850000 1.165000 2.275000 1.835000 ;
END
END A
PIN B
ANTENNAGATEAREA 0.313000 ;
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 1.085000 1.165000 1.435000 1.495000 ;
END
END B
PIN X
ANTENNADIFFAREA 0.404700 ;
DIRECTION OUTPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 0.125000 0.265000 0.520000 0.635000 ;
RECT 0.125000 0.635000 0.355000 2.025000 ;
RECT 0.125000 2.025000 0.610000 3.065000 ;
END
END X
PIN VGND
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER li1 ;
RECT 0.000000 -0.085000 2.400000 0.085000 ;
RECT 0.980000 0.085000 1.310000 0.635000 ;
LAYER mcon ;
RECT 0.155000 -0.085000 0.325000 0.085000 ;
RECT 0.635000 -0.085000 0.805000 0.085000 ;
RECT 1.115000 -0.085000 1.285000 0.085000 ;
RECT 1.595000 -0.085000 1.765000 0.085000 ;
RECT 2.075000 -0.085000 2.245000 0.085000 ;
LAYER met1 ;
RECT 0.000000 -0.245000 2.400000 0.245000 ;
END
END VGND
PIN VPWR
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER li1 ;
RECT 0.000000 3.245000 2.400000 3.415000 ;
RECT 0.810000 2.025000 1.140000 3.245000 ;
RECT 1.900000 2.025000 2.230000 3.245000 ;
LAYER mcon ;
RECT 0.155000 3.245000 0.325000 3.415000 ;
RECT 0.635000 3.245000 0.805000 3.415000 ;
RECT 1.115000 3.245000 1.285000 3.415000 ;
RECT 1.595000 3.245000 1.765000 3.415000 ;
RECT 2.075000 3.245000 2.245000 3.415000 ;
LAYER met1 ;
RECT 0.000000 3.085000 2.400000 3.575000 ;
END
END VPWR
OBS
LAYER li1 ;
RECT 0.535000 0.815000 2.130000 0.985000 ;
RECT 0.535000 0.985000 0.865000 1.675000 ;
RECT 0.535000 1.675000 1.670000 1.845000 ;
RECT 1.340000 1.845000 1.670000 3.065000 ;
RECT 1.800000 0.265000 2.130000 0.815000 ;
END
END sky130_fd_sc_lp__and2_lp2