blob: cb165e16eceec18d841e17eac99f9eeb2b3f886a [file] [log] [blame]
{
"area": 35.1648,
"cell_footprint": "sky130_fd_sc_lp__dfstp",
"cell_leakage_power": 0.04877063,
"driver_waveform_fall": "preDrv",
"driver_waveform_rise": "preDrv",
"ff,IQ,IQ_N": {
"clocked_on": "CLK",
"next_state": "D",
"preset": "!SET_B"
},
"leakage_power": {
"value": 0.0487706,
"when": "CLK&D&SET_B&!Q"
},
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,CLK": {
"capacitance": 0.001673,
"clock": "true",
"direction": "input",
"fall_capacitance": 0.001591,
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.0148205,
0.014744,
0.0146539,
0.0144801,
0.0142195,
0.0143367,
0.0145126,
0.014728,
0.0150511,
0.015033,
0.0150059,
0.0149652,
0.0149041
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.0136586,
0.013646,
0.0136312,
0.0135759,
0.0134929,
0.0134973,
0.0135039,
0.0134117,
0.0132732,
0.0132308,
0.0131672,
0.0130719,
0.0129287
]
}
},
"max_transition": 1.0,
"min_pulse_width_high": 0.24679,
"min_pulse_width_low": 0.810735,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.001754
},
"pin,D": {
"capacitance": 0.001409,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.001399,
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.0037788,
0.0037419,
0.0036986,
0.0036715,
0.003631,
0.0036059,
0.0035683,
0.0035522,
0.003528,
0.0035271,
0.0035259,
0.003524,
0.0035211
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
6.4019968e-05,
5.395962e-05,
4.2097625e-05,
2.3656766e-05,
-3.9973701e-06,
-2.553556e-05,
-5.7824941e-05,
-7.3235666e-05,
-9.6336362e-05,
-0.0001062,
-0.0001209,
-0.0001429,
-0.0001761
]
}
},
"max_transition": 1.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.001418,
"timing": [
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.5742062,
0.5872555,
0.6102968,
0.6565926,
0.7374334,
0.9207284,
1.094737,
1.2866729,
1.4673056,
1.6362228
],
[
0.561045,
0.5740943,
0.5971356,
0.6434313,
0.724272,
0.9075671,
1.0815665,
1.2735116,
1.4541444,
1.6228766
],
[
0.5439327,
0.5512067,
0.5739946,
0.6204469,
0.7013942,
0.8846806,
1.0638482,
1.2506252,
1.4364393,
1.6059357
],
[
0.5038483,
0.5112265,
0.533969,
0.5802724,
0.6614072,
0.8443947,
1.0181506,
1.2103413,
1.3903872,
1.5598945
],
[
0.41554,
0.4342154,
0.4508793,
0.4974871,
0.5786931,
0.7612378,
0.9359317,
1.1272803,
1.3093555,
1.476987
],
[
0.2341947,
0.2477446,
0.2704702,
0.317216,
0.4044255,
0.5860536,
0.773604,
0.9529035,
1.1337049,
1.3073876
],
[
0.116698,
0.1297469,
0.152785,
0.193017,
0.2758295,
0.4692061,
0.6736648,
0.8484788,
1.0125928,
1.1692172
],
[
0.0174939,
0.0305427,
0.0535782,
0.093865,
0.1857887,
0.3738669,
0.5694362,
0.7558008,
0.9115396,
1.0866491
],
[
-0.0637895,
-0.0491081,
-0.0221873,
0.0065351,
0.0933103,
0.2826033,
0.4682849,
0.6449366,
0.8203125,
0.9811544
],
[
-0.1498067,
-0.1367578,
-0.1137196,
-0.0750907,
0.01763,
0.1976472,
0.3703715,
0.5525235,
0.7269728,
0.890625
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.1640595,
0.1770015,
0.2001578,
0.2349523,
0.3058461,
0.4398015,
0.5552324,
0.6596927,
0.7582724,
0.8510445
],
[
0.1509015,
0.163845,
0.1811423,
0.2216902,
0.2926402,
0.426621,
0.5362091,
0.6465283,
0.7451994,
0.8378816
],
[
0.1337677,
0.1467529,
0.1640484,
0.1987167,
0.2691703,
0.4036652,
0.524976,
0.6290021,
0.7274085,
0.820808
],
[
0.092769,
0.1056318,
0.129057,
0.1631461,
0.2342123,
0.3692651,
0.4836485,
0.5878895,
0.6874778,
0.7865406
],
[
0.0338626,
0.0468046,
0.0700793,
0.1055784,
0.1816406,
0.3096063,
0.419661,
0.5298553,
0.6345054,
0.7230901
],
[
-0.0533979,
-0.0406807,
-0.0175246,
0.0169059,
0.0867909,
0.2234259,
0.3401678,
0.4442402,
0.5347683,
0.62784
],
[
-0.1166696,
-0.1099676,
-0.0932913,
-0.058498,
0.0182931,
0.1448301,
0.2562638,
0.3703746,
0.4633412,
0.5570669
],
[
-0.1811049,
-0.1741155,
-0.1570623,
-0.1229515,
-0.0515184,
0.0770404,
0.1839464,
0.2977338,
0.3939391,
0.4940985
],
[
-0.2395528,
-0.2324701,
-0.2151734,
-0.1803808,
-0.1098395,
0.0126922,
0.1206882,
0.2289195,
0.3180925,
0.4225614
],
[
-0.2904134,
-0.2833307,
-0.2660339,
-0.2371004,
-0.168028,
-0.0457897,
0.0632943,
0.1765143,
0.253912,
0.347871
]
]
},
"timing_type": "setup_rising"
},
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.0995999,
-0.1126716,
-0.1299677,
-0.1762925,
-0.2703295,
-0.4391323,
-0.5954013,
-0.7345911,
-0.8914512,
-1.0424541
],
[
-0.0922876,
-0.1053545,
-0.1225079,
-0.1690292,
-0.2511686,
-0.4200965,
-0.5822249,
-0.7272741,
-0.8782748,
-1.0351371
],
[
-0.0690493,
-0.0823401,
-0.1051427,
-0.145562,
-0.2342047,
-0.4089143,
-0.5651828,
-0.7102316,
-0.8670916,
-1.0180944
],
[
-0.0395438,
-0.0526778,
-0.0762165,
-0.1171355,
-0.2105014,
-0.3795687,
-0.5370121,
-0.6871536,
-0.8447085,
-0.9957235
],
[
0.0247293,
0.0117031,
-0.0057056,
-0.0511211,
-0.1464778,
-0.3324964,
-0.5140418,
-0.6591817,
-0.8095736,
-0.9246409
],
[
0.1231318,
0.1160523,
0.0992004,
0.053069,
-0.0398763,
-0.2293671,
-0.3972657,
-0.5579328,
-0.7147929,
-0.8540771
],
[
0.2111414,
0.1980698,
0.1807736,
0.1346198,
0.0472137,
-0.1388298,
-0.3114627,
-0.4747341,
-0.6285374,
-0.7784968
],
[
0.2854575,
0.2782451,
0.2609485,
0.2204526,
0.1340049,
-0.0445217,
-0.2358668,
-0.3914103,
-0.5530562,
-0.7029861
],
[
0.3772337,
0.3700212,
0.3527167,
0.3122289,
0.2248073,
0.0585748,
-0.1295994,
-0.3097617,
-0.4466005,
-0.6120149
],
[
0.4338474,
0.4266349,
0.4034696,
0.3688426,
0.2858159,
0.1169402,
-0.0622398,
-0.2521451,
-0.4092542,
-0.5656828
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.0644486,
-0.0774175,
-0.1006236,
-0.13537,
-0.2062177,
-0.3220998,
-0.4258694,
-0.5184513,
-0.6051767,
-0.6801851
],
[
-0.0512467,
-0.0642976,
-0.087296,
-0.1222547,
-0.1930699,
-0.3090228,
-0.4127083,
-0.505291,
-0.592017,
-0.6728851
],
[
-0.0335765,
-0.0469257,
-0.0697388,
-0.1048026,
-0.1757233,
-0.297102,
-0.395413,
-0.4882708,
-0.5749737,
-0.6558443
],
[
-0.0043619,
-0.017364,
-0.0349051,
-0.0761719,
-0.1460252,
-0.2571686,
-0.3546323,
-0.459432,
-0.5404152,
-0.6210497
],
[
0.0472333,
0.0351262,
0.0119891,
-0.0229974,
-0.1009043,
-0.2154495,
-0.3138204,
-0.4011894,
-0.4808822,
-0.5569852
],
[
0.1167018,
0.1098726,
0.093426,
0.0588418,
-0.0119831,
-0.1353105,
-0.2477286,
-0.3425447,
-0.4193389,
-0.4991013
],
[
0.1810529,
0.1742353,
0.162926,
0.1230713,
0.0578448,
-0.058026,
-0.1620935,
-0.2573722,
-0.3608241,
-0.4399645
],
[
0.2459328,
0.238821,
0.2214687,
0.1925551,
0.1219834,
0.0054002,
-0.0950143,
-0.1901848,
-0.285374,
-0.3624706
],
[
0.3026757,
0.2955639,
0.2782115,
0.2492975,
0.1801719,
0.069802,
-0.0339975,
-0.135171,
-0.2117895,
-0.308249
],
[
0.3534439,
0.3463322,
0.3348391,
0.3059244,
0.2350789,
0.1285478,
0.0163621,
-0.0762113,
-0.1641993,
-0.2427573
]
]
},
"timing_type": "hold_rising"
}
]
},
"pin,Q": {
"direction": "output",
"function": "IQ",
"internal_power": [
{
"fall_power,scalar": {
"values": [
0.0
]
},
"related_pin": "SET_B",
"rise_power,pwr_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00912,
0.01094,
0.01313,
0.01576,
0.01891,
0.02269,
0.02723,
0.03268,
0.03922,
0.04706,
0.05647,
0.06777,
0.08132,
0.09759
],
"values": [
[
0.0436331,
0.0442693,
0.0442529,
0.0442921,
0.0442511,
0.0442438,
0.044278,
0.0443917,
0.0442653,
0.0440777,
0.0437121,
0.0439088,
0.0433787,
0.0443366,
0.0437354
],
[
0.0435483,
0.0441981,
0.0441872,
0.0442197,
0.0441651,
0.0441705,
0.0441974,
0.0443081,
0.0442033,
0.0439593,
0.0436565,
0.0437901,
0.0435643,
0.0442609,
0.0442909
],
[
0.0435221,
0.0441458,
0.0441568,
0.0441384,
0.0441568,
0.0442538,
0.0441272,
0.044109,
0.0442484,
0.0441231,
0.0443207,
0.044016,
0.0432364,
0.0437572,
0.0426988
],
[
0.0434945,
0.0441086,
0.0440918,
0.0441304,
0.0440902,
0.0440843,
0.0441147,
0.0442274,
0.0441117,
0.0439002,
0.0435693,
0.0437234,
0.043514,
0.0441759,
0.0435718
],
[
0.043414,
0.044024,
0.0440363,
0.04401,
0.0440378,
0.0441346,
0.0439951,
0.0439916,
0.0441251,
0.0439982,
0.0441946,
0.0438924,
0.0430962,
0.0436149,
0.0425156
],
[
0.0433776,
0.0439775,
0.0439644,
0.0439962,
0.0439506,
0.0439596,
0.0439713,
0.044084,
0.043983,
0.0437798,
0.0434464,
0.043599,
0.0430946,
0.0440337,
0.0434569
],
[
0.0433397,
0.0439391,
0.0439341,
0.0439607,
0.0440317,
0.0439463,
0.0439022,
0.0440338,
0.0439368,
0.0440572,
0.043849,
0.0440568,
0.0439782,
0.0440335,
0.0438444
],
[
0.0433727,
0.0439601,
0.0439425,
0.0439757,
0.043935,
0.0439383,
0.0439508,
0.0440609,
0.0439651,
0.0441094,
0.0433913,
0.0440497,
0.0432196,
0.0440299,
0.0440132
],
[
0.0433918,
0.0439754,
0.043994,
0.0439638,
0.0439936,
0.0440897,
0.0439455,
0.0439482,
0.0440777,
0.0439525,
0.044154,
0.0438429,
0.0430299,
0.0435382,
0.0432745
],
[
0.0434903,
0.0440966,
0.0440931,
0.044102,
0.0441595,
0.0441079,
0.0442293,
0.0441853,
0.0440492,
0.0442119,
0.0439962,
0.0437242,
0.0438226,
0.0428789,
0.0434159
],
[
0.0436354,
0.0442145,
0.0442005,
0.0442322,
0.0441831,
0.0441943,
0.0442003,
0.0443118,
0.0442127,
0.0443476,
0.0436023,
0.0443116,
0.0443108,
0.0442801,
0.0441836
],
[
0.0436479,
0.0442345,
0.0442799,
0.0442479,
0.0442056,
0.0442062,
0.04422,
0.0443271,
0.0442372,
0.0443728,
0.0435921,
0.0443418,
0.0442751,
0.0436365,
0.0437083
],
[
0.0435286,
0.0441551,
0.0441249,
0.0440551,
0.0440357,
0.0440192,
0.0440773,
0.044232,
0.0440751,
0.0440619,
0.043898,
0.0439278,
0.0442264,
0.0436099,
0.0441234
]
]
}
},
{
"fall_power,pwr_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00912,
0.01094,
0.01313,
0.01576,
0.01891,
0.02269,
0.02723,
0.03268,
0.03922,
0.04706,
0.05647,
0.06777,
0.08132,
0.09759
],
"values": [
[
0.018346,
0.0203477,
0.0204724,
0.0205719,
0.0206441,
0.0207079,
0.0207563,
0.0207916,
0.0208345,
0.0208687,
0.0208894,
0.0209217,
0.0209299,
0.0209677,
0.0209747
],
[
0.0183653,
0.0203654,
0.0204926,
0.0205887,
0.020668,
0.0207357,
0.0207825,
0.0208228,
0.0208495,
0.0208891,
0.0209218,
0.0209384,
0.0209523,
0.0209671,
0.0210003
],
[
0.0183687,
0.0203683,
0.02049,
0.0205866,
0.0206739,
0.0207416,
0.0207881,
0.0208246,
0.0208614,
0.0208808,
0.0209194,
0.0209476,
0.0209648,
0.0209948,
0.0209994
],
[
0.0184181,
0.0204186,
0.020546,
0.0206421,
0.0207246,
0.0207874,
0.0208358,
0.0208768,
0.020904,
0.0209412,
0.020967,
0.0209974,
0.0210066,
0.0210342,
0.0210728
],
[
0.0184793,
0.0204787,
0.0205994,
0.0206975,
0.0207836,
0.0208518,
0.0208985,
0.0209352,
0.0209717,
0.0209906,
0.0210282,
0.021057,
0.0210752,
0.0211012,
0.02111
],
[
0.0184418,
0.0204401,
0.0205647,
0.0206616,
0.0207458,
0.0208131,
0.0208609,
0.0208927,
0.0209328,
0.0209665,
0.0209889,
0.0210202,
0.0210276,
0.0210581,
0.0210925
],
[
0.0183838,
0.020374,
0.0205018,
0.0205983,
0.0206721,
0.0207356,
0.0207924,
0.0208217,
0.0208563,
0.0209016,
0.0209172,
0.0209529,
0.0209572,
0.0210021,
0.0210014
],
[
0.0184092,
0.0204136,
0.0205371,
0.0206347,
0.0207187,
0.0207849,
0.0208334,
0.0208667,
0.0208991,
0.0209396,
0.0209604,
0.0209862,
0.0209963,
0.0210312,
0.0210678
],
[
0.0184707,
0.0204724,
0.0205908,
0.0206871,
0.0207691,
0.0208394,
0.0208813,
0.0209221,
0.0209653,
0.0209899,
0.0210231,
0.0210361,
0.0210735,
0.0210762,
0.0211013
],
[
0.0184611,
0.0204649,
0.0205935,
0.0206911,
0.0207627,
0.0208324,
0.0208763,
0.0209147,
0.0209596,
0.0209825,
0.0210087,
0.0210362,
0.0210515,
0.0210706,
0.0211098
],
[
0.0184632,
0.0204672,
0.0205931,
0.0206892,
0.0207696,
0.0208385,
0.020884,
0.0209191,
0.02095,
0.0209916,
0.0210156,
0.0210414,
0.0210592,
0.0210801,
0.0210968
],
[
0.0184742,
0.0204785,
0.0205975,
0.0206906,
0.0207758,
0.0208287,
0.020898,
0.0209327,
0.020963,
0.0209879,
0.0210158,
0.021044,
0.0210596,
0.0210839,
0.0210998
],
[
0.0196797,
0.0205711,
0.0206865,
0.0207806,
0.0208223,
0.0208721,
0.020926,
0.020972,
0.0210195,
0.021042,
0.0210672,
0.0210925,
0.0211163,
0.0211468,
0.0211586
]
]
},
"related_pin": "CLK",
"rise_power,pwr_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00912,
0.01094,
0.01313,
0.01576,
0.01891,
0.02269,
0.02723,
0.03268,
0.03922,
0.04706,
0.05647,
0.06777,
0.08132,
0.09759
],
"values": [
[
0.0206915,
0.0216486,
0.0216763,
0.0216901,
0.0216763,
0.021687,
0.0217964,
0.0217529,
0.0215353,
0.0215181,
0.0217459,
0.0216396,
0.0213063,
0.0216263,
0.0211657
],
[
0.0206997,
0.0216961,
0.0217067,
0.0217921,
0.0217214,
0.0217967,
0.0216244,
0.021597,
0.02181,
0.0217444,
0.0213655,
0.021364,
0.0216951,
0.0212512,
0.0215947
],
[
0.0207282,
0.0216645,
0.0217009,
0.0217165,
0.0216878,
0.0217885,
0.0216587,
0.0215908,
0.0217661,
0.0217274,
0.0214255,
0.0211689,
0.0216667,
0.0211821,
0.021625
],
[
0.0207627,
0.0216909,
0.0217481,
0.0218318,
0.0217521,
0.021829,
0.021681,
0.0216674,
0.0218405,
0.0217825,
0.0214413,
0.0213503,
0.0217318,
0.0212746,
0.0216524
],
[
0.0208308,
0.0217738,
0.021807,
0.021815,
0.0217984,
0.0218988,
0.0217585,
0.0217182,
0.021886,
0.0218311,
0.0215513,
0.0212951,
0.0217634,
0.0213161,
0.0217625
],
[
0.0207612,
0.021757,
0.0217573,
0.0218395,
0.0217792,
0.021863,
0.0218239,
0.0216702,
0.0218881,
0.0217853,
0.0217264,
0.0214418,
0.0217259,
0.021324,
0.0216781
],
[
0.0206933,
0.0216536,
0.0216976,
0.0217646,
0.0217146,
0.021813,
0.021768,
0.0215957,
0.0215543,
0.0217512,
0.0216791,
0.0213746,
0.0216519,
0.0211173,
0.0208281
],
[
0.020712,
0.0216419,
0.0216941,
0.0217762,
0.0216957,
0.0217755,
0.0216303,
0.0216147,
0.0217863,
0.0217265,
0.0214014,
0.0212731,
0.0216726,
0.0212207,
0.0216047
],
[
0.0207251,
0.0217017,
0.0217056,
0.0217897,
0.0217246,
0.0217991,
0.021633,
0.0216067,
0.0218128,
0.0217436,
0.0213856,
0.0213453,
0.0216888,
0.0212411,
0.0216206
],
[
0.0206461,
0.0216095,
0.0216523,
0.0217176,
0.0216627,
0.0217526,
0.0217163,
0.0215378,
0.021485,
0.0216802,
0.0216137,
0.0213109,
0.0216166,
0.0211789,
0.0215647
],
[
0.0205896,
0.0215432,
0.0216108,
0.0215998,
0.0216668,
0.0215695,
0.021524,
0.0216623,
0.0216461,
0.0215977,
0.0213182,
0.0210368,
0.021535,
0.0210658,
0.021527
],
[
0.0205034,
0.0214478,
0.0214482,
0.0214491,
0.0215566,
0.0214823,
0.0215986,
0.0214425,
0.0213561,
0.0215516,
0.0215733,
0.0214823,
0.0211408,
0.0214719,
0.0208605
],
[
0.0205818,
0.021463,
0.0214591,
0.0214772,
0.0214888,
0.0216318,
0.0216848,
0.0216798,
0.021433,
0.0214159,
0.0214441,
0.0210401,
0.021486,
0.0209937,
0.0210832
]
]
}
}
],
"max_capacitance": 0.09759,
"max_transition": 1.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"cell_rise,delay_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00912,
0.01094,
0.01313,
0.01576,
0.01891,
0.02269,
0.02723,
0.03268,
0.03922,
0.04706,
0.05647,
0.06777,
0.08132,
0.09759
],
"values": [
[
0.7703083,
0.8785022,
0.8988633,
0.9238589,
0.9529227,
0.9885313,
1.0307566,
1.0811344,
1.1422565,
1.2152916,
1.3019472,
1.4078712,
1.5325928,
1.685687,
1.8642883
],
[
0.7748446,
0.8831977,
0.9036152,
0.9285485,
0.9577071,
0.9931334,
1.0353486,
1.0857699,
1.1468778,
1.2198474,
1.3066731,
1.4125097,
1.5370005,
1.6903159,
1.8686577
],
[
0.781132,
0.889308,
0.9100002,
0.9345192,
0.9640864,
0.9990277,
1.0416362,
1.0921891,
1.1526015,
1.2261057,
1.3135143,
1.4177668,
1.5455046,
1.6943241,
1.8759807
],
[
0.7900686,
0.8982509,
0.9186268,
0.9435989,
0.9726686,
1.0082737,
1.0505069,
1.1008727,
1.1620208,
1.2350211,
1.3216968,
1.4276753,
1.5522783,
1.7055038,
1.8839099
],
[
0.8040539,
0.912229,
0.9329458,
0.9574271,
0.9870292,
1.0219297,
1.0645596,
1.1151489,
1.1755025,
1.249032,
1.3364848,
1.4406726,
1.5684771,
1.7172552,
1.8990458
],
[
0.8252962,
0.9335652,
0.9539907,
0.9789028,
1.0080368,
1.0436026,
1.0857867,
1.1362422,
1.1973624,
1.2702739,
1.3568699,
1.4629126,
1.5875022,
1.7405939,
1.9192377
],
[
0.8577418,
0.9659689,
0.9864876,
1.0113095,
1.0405599,
1.0760499,
1.1181254,
1.1687791,
1.2298255,
1.3025584,
1.3897872,
1.4955354,
1.6195787,
1.7736099,
1.9513853
],
[
0.9067946,
1.0149697,
1.035379,
1.0603057,
1.0894231,
1.1249898,
1.1671978,
1.2176288,
1.2787537,
1.3516954,
1.4385347,
1.5444651,
1.6687214,
1.8222989,
2.0002622
],
[
0.9816183,
1.0897231,
1.1104903,
1.1349751,
1.164584,
1.1994647,
1.2421046,
1.2927207,
1.3530334,
1.4265858,
1.5140624,
1.6182468,
1.7460728,
1.8949002,
2.0767146
],
[
1.0944665,
1.2025705,
1.2232634,
1.2478832,
1.2773654,
1.3127301,
1.3545433,
1.4055871,
1.466516,
1.5388172,
1.6267754,
1.7320999,
1.8570802,
2.0080644,
2.1901947
],
[
1.2648717,
1.3730598,
1.3935012,
1.4183952,
1.4475485,
1.4830939,
1.5252741,
1.5757528,
1.6368619,
1.7097663,
1.7966926,
1.9025796,
2.0266997,
2.1804898,
2.3580926
],
[
1.5166521,
1.6247983,
1.6451369,
1.6700671,
1.6991609,
1.7347609,
1.7769544,
1.827375,
1.8885229,
1.961445,
2.0483124,
2.1542683,
2.2784006,
2.4324134,
2.6097737
],
[
1.8706496,
1.9786661,
1.9990427,
2.0239879,
2.0530633,
2.0886652,
2.1305193,
2.1812336,
2.2425393,
2.3152498,
2.4031703,
2.5073157,
2.6347531,
2.7836693,
2.9677467
]
]
},
"related_pin": "SET_B",
"rise_transition,delay_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00912,
0.01094,
0.01313,
0.01576,
0.01891,
0.02269,
0.02723,
0.03268,
0.03922,
0.04706,
0.05647,
0.06777,
0.08132,
0.09759
],
"values": [
[
0.0340567,
0.1694934,
0.1969098,
0.2299708,
0.2692755,
0.3177028,
0.3751272,
0.4428297,
0.5289116,
0.6274668,
0.7535425,
0.8925058,
1.0624094,
1.2722458,
1.5149043
],
[
0.0342759,
0.1694317,
0.1970264,
0.2300782,
0.2688681,
0.3177209,
0.3751248,
0.4424605,
0.5285723,
0.627238,
0.7532916,
0.8921016,
1.0575349,
1.2716659,
1.5112698
],
[
0.0341052,
0.1695376,
0.1968018,
0.2302778,
0.269815,
0.3169137,
0.3757634,
0.4444966,
0.5263227,
0.6272461,
0.7470553,
0.8915929,
1.0673276,
1.2748966,
1.523484
],
[
0.0340203,
0.1694556,
0.1968743,
0.229932,
0.2692843,
0.317776,
0.375161,
0.4427761,
0.5289708,
0.6274622,
0.7535181,
0.8923758,
1.0576038,
1.2717587,
1.5140447
],
[
0.0341009,
0.1695226,
0.1968812,
0.2301798,
0.2697129,
0.3170675,
0.3757472,
0.4444999,
0.5261959,
0.6274451,
0.7470727,
0.8916702,
1.0683652,
1.275445,
1.5229654
],
[
0.0338943,
0.1693819,
0.1969743,
0.2300313,
0.269049,
0.317859,
0.3751895,
0.4422352,
0.5284566,
0.6275616,
0.7533608,
0.8924255,
1.0624005,
1.2723,
1.5153784
],
[
0.0343192,
0.1691947,
0.1970998,
0.2301032,
0.2686308,
0.3178575,
0.3751988,
0.4433749,
0.5271821,
0.6261961,
0.7499273,
0.8897361,
1.0586918,
1.2710233,
1.5126057
],
[
0.0338882,
0.1693984,
0.1969069,
0.2299904,
0.269127,
0.3178438,
0.3751724,
0.4422333,
0.5284859,
0.6271912,
0.7532858,
0.8917512,
1.0579659,
1.2705001,
1.5118893
],
[
0.0341048,
0.1697409,
0.1968748,
0.2301243,
0.269643,
0.3171448,
0.3757316,
0.4444955,
0.5261995,
0.6274357,
0.7475394,
0.8915239,
1.0685658,
1.2758402,
1.5222749
],
[
0.0342611,
0.1686447,
0.197002,
0.2299984,
0.2694232,
0.3171947,
0.3749392,
0.4448208,
0.5274365,
0.6267407,
0.7467591,
0.8969552,
1.0660018,
1.2743121,
1.5239683
],
[
0.0340036,
0.1693474,
0.1969563,
0.2300408,
0.2689931,
0.31787,
0.375186,
0.4425185,
0.5281943,
0.6269859,
0.753253,
0.891342,
1.058184,
1.2693361,
1.5122386
],
[
0.0341434,
0.1694543,
0.1968171,
0.2298702,
0.2692568,
0.3178361,
0.3752384,
0.4422849,
0.5312408,
0.6271714,
0.7538828,
0.8911066,
1.0587773,
1.277432,
1.523014
],
[
0.0340918,
0.1694273,
0.1972656,
0.2291779,
0.2677371,
0.3161148,
0.3747586,
0.444504,
0.5281464,
0.6290599,
0.7494417,
0.8911559,
1.0658842,
1.2664186,
1.5181174
]
]
},
"timing_sense": "negative_unate",
"timing_type": "preset"
},
{
"cell_fall,delay_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00912,
0.01094,
0.01313,
0.01576,
0.01891,
0.02269,
0.02723,
0.03268,
0.03922,
0.04706,
0.05647,
0.06777,
0.08132,
0.09759
],
"values": [
[
0.6014031,
0.6588761,
0.6674248,
0.6771891,
0.6885575,
0.7018821,
0.7174421,
0.7358277,
0.7575603,
0.7832658,
0.814014,
0.850809,
0.8949138,
0.9477766,
1.0112725
],
[
0.6060094,
0.6630734,
0.6716385,
0.6815357,
0.6929634,
0.7062973,
0.7218716,
0.7402294,
0.7619895,
0.7877097,
0.8184177,
0.8552156,
0.8993954,
0.9521928,
1.0156946
],
[
0.6117301,
0.6687766,
0.6773294,
0.6872322,
0.6987576,
0.7120652,
0.7276841,
0.7459889,
0.767755,
0.7935412,
0.8242224,
0.8609505,
0.9050407,
0.9578929,
1.021382
],
[
0.6198179,
0.6768985,
0.6854615,
0.6953603,
0.7067946,
0.7201191,
0.7356803,
0.7540594,
0.7758199,
0.8015629,
0.8323193,
0.8691057,
0.9132124,
0.9660865,
1.0295766
],
[
0.6325598,
0.6896073,
0.6981725,
0.7080658,
0.7195725,
0.7328818,
0.7485021,
0.766805,
0.7885792,
0.8143554,
0.8449942,
0.8817925,
0.9258972,
0.9787567,
1.0422506
],
[
0.6509733,
0.7080384,
0.7166018,
0.7265,
0.7379604,
0.7512664,
0.7668849,
0.7852162,
0.8069577,
0.8326649,
0.8634425,
0.9002379,
0.9443449,
0.9972113,
1.0607077
],
[
0.679125,
0.7366835,
0.7452247,
0.7550332,
0.7664055,
0.7797278,
0.7953125,
0.8136667,
0.8354191,
0.8611466,
0.89185,
0.9286512,
0.9727615,
1.0256304,
1.0891348
],
[
0.7213103,
0.7783619,
0.7869276,
0.7968249,
0.8082957,
0.8216005,
0.8372241,
0.855537,
0.8773077,
0.9030079,
0.9337873,
0.9705832,
1.0146911,
1.0675596,
1.1310558
],
[
0.7825729,
0.8396895,
0.848247,
0.8581472,
0.8695253,
0.882857,
0.8983979,
0.9167979,
0.9385186,
0.9642237,
0.9950024,
1.031797,
1.0759048,
1.1287739,
1.1922782
],
[
0.8666615,
0.9241321,
0.9326754,
0.9424858,
0.9538553,
0.9671836,
0.9827392,
1.0011284,
1.0228616,
1.0486174,
1.0793789,
1.1161649,
1.1602724,
1.2131468,
1.2766331
],
[
0.9783895,
1.035754,
1.0442976,
1.0541856,
1.065649,
1.0789429,
1.0945622,
1.1128852,
1.134653,
1.1603892,
1.1910695,
1.227876,
1.2719896,
1.3248619,
1.3883699
],
[
1.1256792,
1.1830658,
1.1915835,
1.2014592,
1.2129233,
1.2262149,
1.2418403,
1.2601649,
1.2818686,
1.3077109,
1.3384306,
1.3751953,
1.419184,
1.4721532,
1.5362996
],
[
1.3231684,
1.3806718,
1.389186,
1.3990702,
1.4099054,
1.4232253,
1.4388015,
1.4571662,
1.4792727,
1.5050439,
1.535709,
1.5724528,
1.6165885,
1.6693751,
1.7330608
]
]
},
"cell_rise,delay_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00912,
0.01094,
0.01313,
0.01576,
0.01891,
0.02269,
0.02723,
0.03268,
0.03922,
0.04706,
0.05647,
0.06777,
0.08132,
0.09759
],
"values": [
[
0.7012854,
0.8108966,
0.8315141,
0.8562221,
0.8855469,
0.9214488,
0.9631029,
1.0142776,
1.0750743,
1.1474021,
1.2350913,
1.3402132,
1.4660223,
1.6161228,
1.7980822
],
[
0.7060566,
0.8156297,
0.836411,
0.8608867,
0.8907072,
0.9259801,
0.9684368,
1.0190444,
1.0794892,
1.1527796,
1.2401303,
1.3446407,
1.4700868,
1.6218107,
1.803607
],
[
0.7116217,
0.8210966,
0.8417143,
0.8662651,
0.8960789,
0.9309682,
0.9738356,
1.0240978,
1.0850988,
1.1581608,
1.2453132,
1.3494533,
1.4758567,
1.6274201,
1.8082102
],
[
0.7201436,
0.829534,
0.8502916,
0.8746481,
0.9046176,
0.9397122,
0.9823578,
1.0328354,
1.0934627,
1.1666863,
1.2539669,
1.358354,
1.4841373,
1.6357927,
1.8173327
],
[
0.7326505,
0.8418045,
0.8624369,
0.8869609,
0.9167951,
0.9516484,
0.9945458,
1.0448598,
1.1057815,
1.1788786,
1.2660576,
1.3701605,
1.4965269,
1.6481263,
1.8290246
],
[
0.7508998,
0.8601496,
0.8809486,
0.9053665,
0.9352598,
0.9704819,
1.0129895,
1.0635932,
1.1240435,
1.1973393,
1.2847021,
1.3892779,
1.5145848,
1.6662904,
1.8482594
],
[
0.7793322,
0.888476,
0.9092717,
0.9338851,
0.9635199,
0.9990294,
1.0412096,
1.0920443,
1.1522227,
1.2255763,
1.3130638,
1.4178376,
1.5428662,
1.694294,
1.8766895
],
[
0.8213257,
0.9307239,
0.951471,
0.9758155,
1.005805,
1.0408425,
1.0835454,
1.1339888,
1.1946681,
1.2678721,
1.3551308,
1.4594747,
1.5853665,
1.7369969,
1.9184638
],
[
0.8827545,
0.9921767,
1.0129055,
1.0372636,
1.0672007,
1.1024039,
1.1449269,
1.1955313,
1.2560146,
1.3292778,
1.4166279,
1.5210851,
1.6466113,
1.7983655,
1.9800077
],
[
0.9659401,
1.0753829,
1.0961554,
1.120793,
1.150392,
1.185917,
1.2280813,
1.2789113,
1.3390548,
1.4124411,
1.4999144,
1.6046124,
1.729504,
1.8812867,
2.0635178
],
[
1.0760008,
1.185453,
1.2058486,
1.2307645,
1.2602495,
1.2957152,
1.3379818,
1.3885398,
1.4495537,
1.5226075,
1.6097583,
1.7139143,
1.8403155,
1.9918862,
2.1726223
],
[
1.2214733,
1.330878,
1.3514188,
1.3762975,
1.4056224,
1.4407279,
1.4831859,
1.5343231,
1.5947436,
1.6678592,
1.7549493,
1.86011,
1.9860722,
2.1364386,
2.3177769
],
[
1.4179325,
1.5274869,
1.547913,
1.5727498,
1.6020676,
1.6372608,
1.6796946,
1.7305016,
1.7912576,
1.8647357,
1.951602,
2.0560613,
2.1822539,
2.3336761,
2.5147848
]
]
},
"fall_transition,delay_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00912,
0.01094,
0.01313,
0.01576,
0.01891,
0.02269,
0.02723,
0.03268,
0.03922,
0.04706,
0.05647,
0.06777,
0.08132,
0.09759
],
"values": [
[
0.0280109,
0.07462,
0.082932,
0.0936012,
0.1060953,
0.1211295,
0.1388836,
0.1603719,
0.186984,
0.2191607,
0.2582713,
0.3058223,
0.3630639,
0.4327164,
0.5141136
],
[
0.0274711,
0.0748894,
0.0831481,
0.094076,
0.1063458,
0.1211758,
0.1390422,
0.1601931,
0.1868314,
0.2190744,
0.258216,
0.3058238,
0.363185,
0.4326777,
0.5145844
],
[
0.028032,
0.0748109,
0.0833704,
0.0940733,
0.1059746,
0.1213924,
0.1390698,
0.1606881,
0.1868764,
0.2186231,
0.257679,
0.3054122,
0.363457,
0.4327931,
0.5155615
],
[
0.0274742,
0.0748789,
0.0831068,
0.0940665,
0.1060678,
0.1211376,
0.1385884,
0.1602124,
0.1868233,
0.2191123,
0.2580909,
0.3058139,
0.3632928,
0.4311203,
0.5175507
],
[
0.0280322,
0.0748055,
0.0834228,
0.0940745,
0.1058943,
0.1213981,
0.1390558,
0.1606997,
0.1868298,
0.2186704,
0.2580176,
0.3057186,
0.3633368,
0.4330007,
0.5146943
],
[
0.0274724,
0.0748603,
0.0831599,
0.0940734,
0.1059661,
0.1214008,
0.1390887,
0.1603239,
0.1870907,
0.2192309,
0.2583255,
0.3057001,
0.363347,
0.4324455,
0.515252
],
[
0.0281222,
0.0746416,
0.082935,
0.093518,
0.1061873,
0.1211008,
0.1390037,
0.1602111,
0.1867757,
0.2190343,
0.2581843,
0.3058208,
0.3629751,
0.4327098,
0.5144819
],
[
0.0274882,
0.0748446,
0.0832222,
0.0940792,
0.1059963,
0.121392,
0.1390601,
0.1606012,
0.1867472,
0.2192423,
0.2583194,
0.3055511,
0.363485,
0.432258,
0.5158415
],
[
0.0275419,
0.0748032,
0.0831489,
0.094093,
0.1065005,
0.1211635,
0.1385904,
0.1605409,
0.1870891,
0.2192453,
0.2583286,
0.3056113,
0.3634547,
0.4321966,
0.5163402
],
[
0.0275143,
0.0746398,
0.082963,
0.093404,
0.1063366,
0.1211222,
0.138847,
0.1603627,
0.1870075,
0.2190302,
0.2581536,
0.3058683,
0.3631354,
0.4309827,
0.5178803
],
[
0.0275749,
0.0746773,
0.0832651,
0.0939646,
0.1058203,
0.1213726,
0.1390741,
0.1604411,
0.1866614,
0.2189656,
0.2581331,
0.3058117,
0.3629602,
0.4326834,
0.5147425
],
[
0.027562,
0.0746472,
0.0831513,
0.0939576,
0.1061578,
0.1210031,
0.1390737,
0.1606626,
0.1872625,
0.2184936,
0.2570778,
0.3052008,
0.3631565,
0.4335542,
0.5160521
],
[
0.0276264,
0.0747432,
0.0831525,
0.0937745,
0.1061779,
0.1212367,
0.1390911,
0.1603445,
0.1867236,
0.2188673,
0.257273,
0.3048558,
0.362641,
0.4345862,
0.5156704
]
]
},
"related_pin": "CLK",
"rise_transition,delay_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00912,
0.01094,
0.01313,
0.01576,
0.01891,
0.02269,
0.02723,
0.03268,
0.03922,
0.04706,
0.05647,
0.06777,
0.08132,
0.09759
],
"values": [
[
0.0374264,
0.1702148,
0.1987156,
0.2311302,
0.2708934,
0.3181502,
0.3750864,
0.4428937,
0.5266864,
0.6257528,
0.7446845,
0.889522,
1.0614165,
1.2651284,
1.5287502
],
[
0.0374198,
0.1704073,
0.1979196,
0.2313761,
0.2708416,
0.317801,
0.3754096,
0.4432241,
0.5269598,
0.6236447,
0.746011,
0.8895365,
1.057093,
1.2784737,
1.5157037
],
[
0.0372885,
0.1709223,
0.1978442,
0.2318381,
0.2711406,
0.3175382,
0.3754557,
0.4440421,
0.5259997,
0.6250479,
0.7451755,
0.8893477,
1.061813,
1.2691284,
1.5231999
],
[
0.0371179,
0.1707562,
0.1979966,
0.2317122,
0.2710793,
0.317278,
0.3755587,
0.4434862,
0.5268136,
0.6237447,
0.7460345,
0.889585,
1.0594113,
1.2689042,
1.5138486
],
[
0.0370599,
0.1709368,
0.1978805,
0.2318259,
0.2711662,
0.3173801,
0.3755061,
0.4439591,
0.5262032,
0.6247837,
0.7454447,
0.8894169,
1.0613979,
1.2690227,
1.5207295
],
[
0.0373929,
0.1706807,
0.1979409,
0.2314899,
0.2708786,
0.3177505,
0.3753883,
0.4432849,
0.5269337,
0.6238914,
0.7457815,
0.8889234,
1.0583017,
1.2727559,
1.5176911
],
[
0.0374276,
0.1698529,
0.1976687,
0.2306186,
0.2708256,
0.3184498,
0.3747676,
0.4439523,
0.526603,
0.6253668,
0.7445847,
0.8881229,
1.0611261,
1.2751378,
1.5194191
],
[
0.0371092,
0.170837,
0.1980067,
0.2317732,
0.2711285,
0.3171096,
0.3755769,
0.4435874,
0.5267248,
0.6239955,
0.7459738,
0.8895683,
1.0601747,
1.268421,
1.5138789
],
[
0.0373094,
0.1705489,
0.1978974,
0.2314229,
0.2709338,
0.317639,
0.3754492,
0.4432079,
0.5269189,
0.623473,
0.7461244,
0.8894954,
1.0570385,
1.2787089,
1.5148336
],
[
0.0371796,
0.1696596,
0.1977128,
0.2305312,
0.2708711,
0.3184421,
0.3748527,
0.4439226,
0.5267218,
0.6251324,
0.745183,
0.8891829,
1.060215,
1.2810797,
1.5190983
],
[
0.0373028,
0.1702851,
0.1977381,
0.2311347,
0.2698534,
0.318636,
0.3754023,
0.4433132,
0.5259586,
0.6250791,
0.7451103,
0.889313,
1.0619947,
1.269243,
1.5224143
],
[
0.0373639,
0.1695916,
0.1974161,
0.2295822,
0.2693101,
0.3180977,
0.3748324,
0.444298,
0.5267839,
0.6252726,
0.7455728,
0.8881639,
1.0614996,
1.2651205,
1.5304364
],
[
0.0370699,
0.1693446,
0.1965251,
0.2294693,
0.2692777,
0.3168911,
0.3762714,
0.4447508,
0.5248442,
0.6238313,
0.7414158,
0.8863028,
1.0584358,
1.2682391,
1.5313798
]
]
},
"timing_sense": "non_unate",
"timing_type": "rising_edge"
}
]
},
"pin,SET_B": {
"capacitance": 0.003316,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.003289,
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.0042515,
0.0042493,
0.0042468,
0.0042455,
0.0042435,
0.0042492,
0.0042579,
0.0042493,
0.0042363,
0.0042429,
0.0042527,
0.0042675,
0.0042896
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
-0.0004518,
-0.0004532,
-0.0004548,
-0.0004709,
-0.000495,
-0.000505,
-0.0005198,
-0.0005238,
-0.00053,
-0.0005462,
-0.0005705,
-0.000607,
-0.0006618
]
}
},
"max_transition": 1.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.003342,
"timing": [
{
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.2988426,
-0.2857929,
-0.2679453,
-0.2387728,
-0.1923917,
-0.1163088,
-0.0464017,
0.0169914,
0.0757369,
0.1339944
],
[
-0.3120139,
-0.2989641,
-0.281742,
-0.2519192,
-0.2058784,
-0.1294804,
-0.0595732,
0.0044746,
0.0602112,
0.1208515
],
[
-0.3290757,
-0.316026,
-0.3047037,
-0.2748539,
-0.2226586,
-0.1465413,
-0.0766344,
-0.0125863,
0.0456021,
0.0949787
],
[
-0.3692164,
-0.3561865,
-0.3383193,
-0.3091217,
-0.2625607,
-0.1866563,
-0.1109162,
-0.046674,
0.01132,
0.063649
],
[
-0.4524703,
-0.4394207,
-0.4222827,
-0.392377,
-0.3459819,
-0.2698838,
-0.197821,
-0.1359816,
-0.0719338,
-0.0137454
],
[
-0.5981252,
-0.5851038,
-0.5736033,
-0.5438898,
-0.4923405,
-0.4160395,
-0.3454794,
-0.2837665,
-0.2238723,
-0.1715711
],
[
-0.7152289,
-0.7080402,
-0.6966066,
-0.6668662,
-0.615687,
-0.5388859,
-0.4746094,
-0.4039354,
-0.3485789,
-0.2942003
],
[
-0.824728,
-0.8116852,
-0.7938309,
-0.7646334,
-0.7251984,
-0.6365485,
-0.5786678,
-0.515489,
-0.451661,
-0.3952751
],
[
-0.916514,
-0.9034666,
-0.8914764,
-0.8622953,
-0.8165754,
-0.7339805,
-0.6757921,
-0.605465,
-0.5506057,
-0.489528
],
[
-1.0199963,
-1.0128114,
-0.9949587,
-0.965761,
-0.9133563,
-0.8199288,
-0.7687562,
-0.7198925,
-0.6576225,
-0.5964443
]
]
},
"timing_type": "recovery_rising"
},
{
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.3222799,
0.3092343,
0.2917319,
0.2563258,
0.2098956,
0.133887,
0.0639798,
0.0057914,
-0.0497964,
-0.1105855
],
[
0.3354514,
0.3165443,
0.3045543,
0.2695222,
0.2231057,
0.1470585,
0.0771513,
0.0189629,
-0.0382259,
-0.0877603
],
[
0.3525128,
0.3394671,
0.3221965,
0.2924445,
0.2400354,
0.1700301,
0.1000721,
0.0360239,
-0.0221642,
-0.0728051
],
[
0.3867946,
0.379608,
0.3617568,
0.3266999,
0.2801085,
0.2040916,
0.1343539,
0.070306,
0.0121176,
-0.0402115
],
[
0.4700483,
0.4570016,
0.4391683,
0.4103948,
0.3632812,
0.2875147,
0.2149701,
0.1535597,
0.0953713,
0.0332242
],
[
0.6157032,
0.6026502,
0.5854472,
0.5556085,
0.5097722,
0.4334448,
0.3636749,
0.2958362,
0.2414504,
0.1890086
],
[
0.7328069,
0.7256218,
0.7077691,
0.6785882,
0.6275336,
0.5503494,
0.4921959,
0.4206387,
0.3640654,
0.3041462
],
[
0.8364469,
0.8234021,
0.8055498,
0.7763521,
0.7364903,
0.6480539,
0.589473,
0.5273437,
0.4635994,
0.4038201
],
[
0.9282329,
0.9151863,
0.903195,
0.8740167,
0.8332724,
0.7456993,
0.6875109,
0.6224036,
0.5631876,
0.5065827
],
[
1.031715,
1.0245271,
1.0066772,
0.9774797,
0.9251561,
0.8316915,
0.7801921,
0.7316112,
0.6516079,
0.6081555
]
]
},
"timing_type": "removal_rising"
}
]
}
}