blob: eeeff802972f5e00c6823b6b302285421df0a0a2 [file] [log] [blame]
{
"area": 35.1648,
"cell_footprint": "sky130_fd_sc_lp__dfstp",
"cell_leakage_power": 306.6328,
"driver_waveform_fall": "preDrv",
"driver_waveform_rise": "preDrv",
"ff,IQ,IQ_N": {
"clocked_on": "CLK",
"next_state": "D",
"preset": "!SET_B"
},
"leakage_power": {
"value": 306.6327849,
"when": "CLK&D&SET_B&!Q"
},
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,CLK": {
"capacitance": 0.001883,
"clock": "true",
"direction": "input",
"fall_capacitance": 0.001816,
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.017843,
0.017592,
0.0173279,
0.0170307,
0.016674,
0.016534,
0.0164134,
0.0163749,
0.0164065,
0.0162894,
0.0162029,
0.0161627,
0.016192
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.0157119,
0.0155028,
0.0152884,
0.0150532,
0.0147897,
0.0145624,
0.014311,
0.0139908,
0.0135999,
0.0134614,
0.0133428,
0.0132545,
0.0132116
]
}
},
"max_transition": 1.0,
"min_pulse_width_high": 0.225708,
"min_pulse_width_low": 0.499775,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.001949
},
"pin,D": {
"capacitance": 0.001561,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.00159,
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.0043511,
0.0041162,
0.0038711,
0.0036491,
0.0034054,
0.0032006,
0.0029829,
0.0028004,
0.002616,
0.0024985,
0.0024115,
0.0023704,
0.0023984
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
-0.0001287,
-0.0003404,
-0.000558,
-0.0007757,
-0.0010129,
-0.0012244,
-0.0014519,
-0.0016523,
-0.0018633,
-0.0019873,
-0.002084,
-0.0021397,
-0.0021336
]
}
},
"max_transition": 1.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.001532,
"timing": [
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.3105468,
0.3176855,
0.334931,
0.3693811,
0.4386225,
0.5748723,
0.6968517,
0.8003651,
0.8931083,
0.9799963
],
[
0.297355,
0.3103531,
0.3275986,
0.3620487,
0.4254307,
0.5675571,
0.68366,
0.7871733,
0.8799164,
0.966763
],
[
0.2802582,
0.2932317,
0.310454,
0.344904,
0.4080359,
0.5498566,
0.6665304,
0.7699816,
0.8626775,
0.949542
],
[
0.2458164,
0.2588063,
0.2701987,
0.3042666,
0.3726807,
0.5103176,
0.6261872,
0.7350767,
0.8281263,
0.9150515
],
[
0.1758373,
0.1879236,
0.2002832,
0.2338848,
0.3027963,
0.4456503,
0.5630313,
0.6650275,
0.7630517,
0.8453088
],
[
0.0811578,
0.0941489,
0.1113939,
0.1456296,
0.2167437,
0.3517798,
0.4674557,
0.5713592,
0.664715,
0.7468938
],
[
0.0229621,
0.0301003,
0.0473433,
0.0814487,
0.152883,
0.2812429,
0.3984375,
0.5053017,
0.5963924,
0.6748335
],
[
-0.0352263,
-0.0222297,
-0.0049837,
0.0236084,
0.0934578,
0.213988,
0.3398,
0.4528341,
0.556691,
0.6202831
],
[
-0.081696,
-0.0687,
-0.0511484,
-0.0287205,
0.0388694,
0.1654039,
0.2790148,
0.3874466,
0.5002507,
0.5861929
],
[
-0.1276874,
-0.120552,
-0.1033009,
-0.0693306,
-0.0059369,
0.1142362,
0.2265916,
0.3363592,
0.4344149,
0.5105434
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.1523346,
0.1594359,
0.1766912,
0.2055615,
0.2647283,
0.3523447,
0.4271565,
0.4897786,
0.5415103,
0.587385
],
[
0.139144,
0.1521042,
0.1635023,
0.192256,
0.2515315,
0.3449301,
0.4136808,
0.4765862,
0.5283182,
0.574193
],
[
0.1273261,
0.1348814,
0.1521952,
0.1805325,
0.2341149,
0.3277287,
0.4021564,
0.4594328,
0.5111685,
0.5629079
],
[
0.0982998,
0.1053196,
0.1225731,
0.151337,
0.2111094,
0.2981286,
0.3737,
0.4313046,
0.4889197,
0.5348136
],
[
0.0572973,
0.0643984,
0.0815546,
0.1172293,
0.169916,
0.258152,
0.3261381,
0.392779,
0.4364625,
0.4878308
],
[
0.0049683,
0.0179289,
0.0293248,
0.0581921,
0.1171486,
0.2060091,
0.2836053,
0.3414808,
0.3862367,
0.4229253
],
[
-0.035642,
-0.0226815,
-0.0112854,
0.0175837,
0.0690186,
0.1541684,
0.2333817,
0.2942693,
0.3460585,
0.4070282
],
[
-0.0703929,
-0.0574326,
-0.040177,
-0.0171678,
0.0363723,
0.123761,
0.1985353,
0.2623646,
0.3050711,
0.3531364
],
[
-0.0992845,
-0.086324,
-0.0749279,
-0.0460597,
0.0074807,
0.0889982,
0.1648705,
0.221403,
0.2680212,
0.3152124
],
[
-0.1218248,
-0.1147237,
-0.0974681,
-0.0690917,
-0.0215393,
0.0660209,
0.1301222,
0.1883107,
0.2356003,
0.2786026
]
]
},
"timing_type": "setup_rising"
},
{
"fall_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.0820241,
-0.0891919,
-0.1064524,
-0.1411191,
-0.2049131,
-0.3284599,
-0.4261273,
-0.5063649,
-0.5754073,
-0.6327341
],
[
-0.0687668,
-0.0818382,
-0.0993371,
-0.1279519,
-0.1975922,
-0.3211131,
-0.4188065,
-0.4990441,
-0.5622271,
-0.6254132
],
[
-0.057126,
-0.0643425,
-0.0814591,
-0.1163322,
-0.1803852,
-0.2975787,
-0.3958213,
-0.476059,
-0.5451014,
-0.6082876
],
[
-0.0278067,
-0.0350967,
-0.0528482,
-0.0879788,
-0.1574189,
-0.2747901,
-0.3735062,
-0.4596082,
-0.528647,
-0.5918332
],
[
0.0188073,
0.011688,
-0.0062392,
-0.0473085,
-0.1187633,
-0.2419968,
-0.3370204,
-0.411369,
-0.474552,
-0.5494568
],
[
0.0820042,
0.0753813,
0.0643418,
0.0296645,
-0.041639,
-0.1726999,
-0.2633064,
-0.3521719,
-0.4240394,
-0.4852099
],
[
0.1520315,
0.1450024,
0.1280896,
0.0999837,
0.0303926,
-0.1044871,
-0.2131932,
-0.3093082,
-0.367642,
-0.4323777
],
[
0.1873761,
0.1802204,
0.168801,
0.1342045,
0.0705409,
-0.0615643,
-0.1829221,
-0.2678546,
-0.3091297,
-0.4272709
],
[
0.2265641,
0.2194096,
0.2021281,
0.1675128,
0.1111517,
-0.0109727,
-0.1287415,
-0.2151241,
-0.2993306,
-0.3683025
],
[
0.2656315,
0.2584782,
0.2470529,
0.2065229,
0.1634801,
0.0399342,
-0.0782977,
-0.156703,
-0.2135961,
-0.3571697
]
]
},
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.0820144,
-0.094989,
-0.1064414,
-0.1352529,
-0.1944234,
-0.2757432,
-0.3382615,
-0.3896657,
-0.4354071,
-0.4694322
],
[
-0.0747151,
-0.0817304,
-0.0992119,
-0.1279727,
-0.1811613,
-0.2625567,
-0.3309362,
-0.3764822,
-0.4222244,
-0.462109
],
[
-0.0571516,
-0.0699841,
-0.0872952,
-0.1163736,
-0.1695145,
-0.2513146,
-0.3138063,
-0.365203,
-0.4109431,
-0.4508298
],
[
-0.0336671,
-0.0469788,
-0.0645545,
-0.09375,
-0.1458058,
-0.2280957,
-0.2909004,
-0.3430024,
-0.3887234,
-0.4286475
],
[
-0.0045729,
-0.0116703,
-0.0289815,
-0.0580699,
-0.1179249,
-0.1999229,
-0.2636574,
-0.3071153,
-0.3481347,
-0.3876525
],
[
0.0418967,
0.0347993,
0.0174875,
-0.0110448,
-0.0653737,
-0.1586522,
-0.2230078,
-0.2753517,
-0.3363604,
-0.3692885
],
[
0.0821361,
0.0695504,
0.0580978,
0.0292526,
-0.0299079,
-0.1096759,
-0.1875104,
-0.2355414,
-0.2920402,
-0.3410972
],
[
0.1111673,
0.1043014,
0.0869893,
0.0581436,
0.0105667,
-0.0770586,
-0.148183,
-0.2059533,
-0.2641583,
-0.2942004
],
[
0.1341006,
0.1270034,
0.1096912,
0.0870351,
0.0337595,
-0.048091,
-0.1181096,
-0.163722,
-0.2147839,
-0.2770532
],
[
0.1556896,
0.1485922,
0.1371395,
0.1089458,
0.0626512,
-0.0186692,
-0.0891066,
-0.1415246,
-0.208014,
-0.2342384
]
]
},
"timing_type": "hold_rising"
}
]
},
"pin,Q": {
"direction": "output",
"function": "IQ",
"internal_power": [
{
"fall_power,scalar": {
"values": [
0.0
]
},
"related_pin": "SET_B",
"rise_power,pwr_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00809,
0.01011,
0.01264,
0.0158,
0.01975,
0.02469,
0.03086,
0.03857,
0.04821,
0.06026,
0.07533,
0.09416,
0.1177,
0.14712
],
"values": [
[
0.0537391,
0.0545163,
0.0545396,
0.0545604,
0.0545225,
0.0544539,
0.0543664,
0.0542247,
0.0540337,
0.0538463,
0.0539754,
0.0530749,
0.0526159,
0.052088,
0.0513294
],
[
0.0538405,
0.0546126,
0.0546359,
0.0546515,
0.0546003,
0.0545493,
0.0544959,
0.054349,
0.0541735,
0.0542648,
0.0535714,
0.0531646,
0.0535355,
0.0521543,
0.0513143
],
[
0.0539414,
0.0547013,
0.0547326,
0.0547422,
0.0547005,
0.0546555,
0.054595,
0.0544433,
0.054269,
0.0543558,
0.053692,
0.0532427,
0.0536026,
0.0522901,
0.0515109
],
[
0.0540368,
0.0547929,
0.0548233,
0.054836,
0.0547932,
0.0547411,
0.0546852,
0.0545416,
0.0543656,
0.0544561,
0.0537701,
0.0533535,
0.0537243,
0.0523583,
0.0514869
],
[
0.0541071,
0.0548611,
0.0548923,
0.054904,
0.0548605,
0.0548135,
0.0547552,
0.0546062,
0.0544314,
0.0545183,
0.0538512,
0.0534093,
0.0537718,
0.052454,
0.0516912
],
[
0.0541619,
0.0549139,
0.054945,
0.0549566,
0.0549128,
0.0548658,
0.0548077,
0.0546577,
0.0544825,
0.0545699,
0.0539032,
0.0534611,
0.0538255,
0.0525044,
0.0517561
],
[
0.0542208,
0.0549842,
0.0550281,
0.0550115,
0.054965,
0.0549525,
0.0548694,
0.0547076,
0.0545399,
0.0546278,
0.053989,
0.0535993,
0.0538245,
0.052535,
0.0529498
],
[
0.0544285,
0.0551677,
0.0552008,
0.0552235,
0.0551849,
0.0551094,
0.0550287,
0.0548975,
0.0547191,
0.0544941,
0.0546216,
0.0537498,
0.0533918,
0.053223,
0.0520487
],
[
0.0545799,
0.0553292,
0.0553568,
0.0553689,
0.0553265,
0.0552746,
0.0552214,
0.0550635,
0.0548941,
0.0549775,
0.0543148,
0.0538796,
0.0538983,
0.05291,
0.0521826
],
[
0.0543542,
0.0551109,
0.0551529,
0.0551401,
0.0550928,
0.0550709,
0.0549984,
0.0548344,
0.0546669,
0.0547565,
0.0541042,
0.0537345,
0.053975,
0.0526807,
0.0530817
],
[
0.0539292,
0.0546771,
0.0546871,
0.0546912,
0.0546802,
0.0546546,
0.054547,
0.0544234,
0.0541894,
0.0539168,
0.0536062,
0.053257,
0.0536201,
0.0522203,
0.0516062
],
[
0.0535892,
0.054349,
0.0543775,
0.054401,
0.0544579,
0.0543856,
0.054248,
0.0539658,
0.0537446,
0.0535697,
0.053735,
0.0529474,
0.0525833,
0.052918,
0.051409
],
[
0.0536152,
0.0542189,
0.054282,
0.0543176,
0.0543502,
0.0543725,
0.0542258,
0.0540727,
0.0538163,
0.053808,
0.0535635,
0.0527915,
0.0517803,
0.0511047,
0.0519874
]
]
}
},
{
"fall_power,pwr_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00809,
0.01011,
0.01264,
0.0158,
0.01975,
0.02469,
0.03086,
0.03857,
0.04821,
0.06026,
0.07533,
0.09416,
0.1177,
0.14712
],
"values": [
[
0.023109,
0.0250684,
0.0252235,
0.0253712,
0.0254627,
0.0255535,
0.0256037,
0.0256499,
0.0256866,
0.0257011,
0.025697,
0.025677,
0.0256124,
0.0255464,
0.0254456
],
[
0.0232622,
0.0252464,
0.0254002,
0.0255482,
0.0256398,
0.0257272,
0.0257846,
0.0258272,
0.0258637,
0.0258787,
0.0258722,
0.025843,
0.0257922,
0.0257252,
0.0256016
],
[
0.0234723,
0.0254503,
0.0256134,
0.0257294,
0.025838,
0.0259179,
0.0259795,
0.0260264,
0.0260519,
0.0260685,
0.0260586,
0.0260349,
0.0259806,
0.0259033,
0.0257922
],
[
0.0236637,
0.0256188,
0.0258076,
0.0259134,
0.0260202,
0.026102,
0.0261704,
0.0262163,
0.0262442,
0.0262475,
0.0262537,
0.0262242,
0.0261644,
0.0261114,
0.0259773
],
[
0.02387,
0.0257992,
0.0259779,
0.0261117,
0.0262127,
0.0263078,
0.026351,
0.0264066,
0.0264322,
0.0264488,
0.0264403,
0.0264219,
0.0263757,
0.0262834,
0.0261774
],
[
0.0239806,
0.0259528,
0.0261119,
0.026253,
0.0263739,
0.0264305,
0.0265116,
0.026543,
0.0265777,
0.026588,
0.0265886,
0.0265622,
0.0265041,
0.0264347,
0.0263387
],
[
0.0241354,
0.0261015,
0.0262688,
0.0263934,
0.0265059,
0.0265858,
0.0266483,
0.0266911,
0.0267202,
0.0267323,
0.0267196,
0.0266982,
0.0266522,
0.026566,
0.0264558
],
[
0.0243059,
0.0262618,
0.0264299,
0.0265639,
0.0266912,
0.0267402,
0.0268196,
0.0268519,
0.0268832,
0.0269019,
0.0268979,
0.0268739,
0.0268131,
0.0267376,
0.0266261
],
[
0.0245328,
0.0265129,
0.0266676,
0.0268146,
0.0269088,
0.0269885,
0.0270687,
0.0271005,
0.0271369,
0.0271487,
0.0271424,
0.027116,
0.0270612,
0.026994,
0.0268691
],
[
0.0245757,
0.0265606,
0.0267122,
0.0268544,
0.0269723,
0.0270317,
0.0271048,
0.0271467,
0.0271753,
0.0271956,
0.0271933,
0.0271699,
0.0271046,
0.0270252,
0.0269097
],
[
0.0245821,
0.0265833,
0.0267386,
0.0268688,
0.0269699,
0.0270506,
0.0271129,
0.0271589,
0.0271888,
0.0272088,
0.0272038,
0.0271806,
0.0271194,
0.0270457,
0.0269421
],
[
0.0248138,
0.0266972,
0.0268835,
0.027019,
0.0271264,
0.0272024,
0.0272684,
0.0273134,
0.0273483,
0.0273612,
0.0273469,
0.0273262,
0.0272772,
0.0272011,
0.0270968
],
[
0.0266446,
0.0272088,
0.0272682,
0.0273179,
0.0273604,
0.0273928,
0.0274237,
0.0274275,
0.027454,
0.0274701,
0.0274637,
0.027433,
0.0273916,
0.02731,
0.0271935
]
]
},
"related_pin": "CLK",
"rise_power,pwr_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00809,
0.01011,
0.01264,
0.0158,
0.01975,
0.02469,
0.03086,
0.03857,
0.04821,
0.06026,
0.07533,
0.09416,
0.1177,
0.14712
],
"values": [
[
0.0249369,
0.025919,
0.025949,
0.0259648,
0.0259788,
0.0259226,
0.0258439,
0.0256706,
0.0254017,
0.025213,
0.0248323,
0.0244721,
0.0239247,
0.0244372,
0.0239129
],
[
0.025116,
0.0260918,
0.0261464,
0.026174,
0.0261485,
0.026094,
0.0260427,
0.0258823,
0.0257145,
0.0253672,
0.025577,
0.0252474,
0.0241202,
0.0235493,
0.0241015
],
[
0.0253198,
0.026288,
0.0263101,
0.0263288,
0.0263392,
0.0262735,
0.026188,
0.0260613,
0.0261404,
0.025563,
0.0252061,
0.0248334,
0.0243368,
0.0248045,
0.0242927
],
[
0.0255108,
0.0264795,
0.0265026,
0.0265272,
0.0265316,
0.0264711,
0.0264181,
0.0262181,
0.0260095,
0.0257712,
0.0254247,
0.0249405,
0.0245009,
0.0249422,
0.0243573
],
[
0.0257078,
0.026677,
0.0267026,
0.0267214,
0.0267252,
0.0266694,
0.0265795,
0.0264368,
0.0261793,
0.0259571,
0.0256158,
0.0251891,
0.0247956,
0.0251706,
0.0246254
],
[
0.0258446,
0.0268123,
0.0268342,
0.0268543,
0.0268628,
0.0267994,
0.0267122,
0.0265853,
0.0266644,
0.0260849,
0.0257323,
0.0253543,
0.0248672,
0.025327,
0.0248147
],
[
0.0259464,
0.0269295,
0.0269495,
0.0269728,
0.0269857,
0.0269161,
0.0268659,
0.0266776,
0.0264311,
0.0262247,
0.0258615,
0.0254391,
0.025002,
0.0254208,
0.0248597
],
[
0.0260806,
0.0270617,
0.0270965,
0.0271086,
0.0271161,
0.0270478,
0.0269942,
0.0268233,
0.0265518,
0.0263381,
0.0259845,
0.0256028,
0.0251199,
0.0255761,
0.0250672
],
[
0.0262803,
0.0272258,
0.0272788,
0.027313,
0.0272882,
0.0272215,
0.0271599,
0.0270322,
0.0268128,
0.0265974,
0.0261762,
0.0264483,
0.0260265,
0.0246507,
0.0241615
],
[
0.0262575,
0.0272134,
0.0272646,
0.0272933,
0.0272817,
0.0272201,
0.0271315,
0.0270248,
0.026806,
0.0264524,
0.026227,
0.0264645,
0.0260105,
0.0246176,
0.0240643
],
[
0.0261736,
0.0271923,
0.0272534,
0.0272341,
0.0272215,
0.027111,
0.0270618,
0.0269531,
0.0270468,
0.0264047,
0.0260868,
0.0257015,
0.0251459,
0.025721,
0.0251673
],
[
0.026254,
0.0272059,
0.0272875,
0.0273074,
0.027296,
0.0271799,
0.0271887,
0.0271049,
0.0270628,
0.0265356,
0.0259145,
0.025576,
0.0253566,
0.0252589,
0.0251692
],
[
0.0273615,
0.0276166,
0.0275993,
0.0274931,
0.0274481,
0.0273316,
0.0273255,
0.027333,
0.0272104,
0.0270685,
0.0268074,
0.0263567,
0.0255144,
0.0244881,
0.0241772
]
]
}
}
],
"max_capacitance": 0.14712,
"max_transition": 1.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"cell_rise,delay_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00809,
0.01011,
0.01264,
0.0158,
0.01975,
0.02469,
0.03086,
0.03857,
0.04821,
0.06026,
0.07533,
0.09416,
0.1177,
0.14712
],
"values": [
[
0.5933266,
0.6625491,
0.6773809,
0.6956432,
0.7182384,
0.7462617,
0.7810682,
0.824011,
0.8783429,
0.9460568,
1.0307842,
1.1367756,
1.2677691,
1.4324515,
1.6377799
],
[
0.5967959,
0.6659554,
0.6807846,
0.6990361,
0.7216174,
0.7495116,
0.7840744,
0.8277509,
0.8820392,
0.9497413,
1.0342764,
1.1389795,
1.2709402,
1.4353593,
1.6421893
],
[
0.6013962,
0.6705729,
0.6853941,
0.7036322,
0.7261849,
0.7540908,
0.7886927,
0.8323784,
0.8866646,
0.9543566,
1.0388265,
1.1433573,
1.2760362,
1.4405312,
1.6460934
],
[
0.608236,
0.6773799,
0.6922035,
0.7104451,
0.7330077,
0.7609317,
0.7954623,
0.8391471,
0.8934445,
0.9611576,
1.045694,
1.1503766,
1.2824349,
1.4469093,
1.6534988
],
[
0.6189453,
0.6880922,
0.7029143,
0.7211558,
0.7437132,
0.7716318,
0.8061943,
0.8498838,
0.9041785,
0.9718824,
1.056375,
1.1609386,
1.2934822,
1.4580495,
1.6636559
],
[
0.6349956,
0.704131,
0.7189532,
0.7371928,
0.7597502,
0.7876664,
0.8222367,
0.8659264,
0.92022,
0.9879193,
1.0724086,
1.1769794,
1.3094996,
1.4740441,
1.679765
],
[
0.6598242,
0.7289182,
0.7437297,
0.7619516,
0.7844699,
0.8122862,
0.8471013,
0.8907678,
0.9450203,
1.0126829,
1.097062,
1.2013517,
1.3349137,
1.4993132,
1.7036855
],
[
0.6975187,
0.7666491,
0.7814786,
0.7997331,
0.8223262,
0.8503341,
0.8850823,
0.9281695,
0.9825102,
1.0502501,
1.13497,
1.2409151,
1.3716138,
1.5372994,
1.7425876
],
[
0.7531878,
0.8223617,
0.8371289,
0.8553688,
0.8779583,
0.9058443,
0.9404364,
0.9841618,
1.0383984,
1.1061293,
1.1905757,
1.2952053,
1.4279037,
1.5921099,
1.798069
],
[
0.8311756,
0.9002684,
0.9150832,
0.933303,
0.955826,
0.9836435,
1.018457,
1.0620917,
1.1163822,
1.1840152,
1.2684871,
1.3727463,
1.5060335,
1.6706739,
1.8748314
],
[
0.9377051,
1.0065961,
1.0214376,
1.0396708,
1.0620094,
1.0900583,
1.125218,
1.168261,
1.2226019,
1.2904054,
1.3750479,
1.4798803,
1.6114018,
1.776071,
1.9828628
],
[
1.083721,
1.1530991,
1.1677843,
1.1859579,
1.2085501,
1.2366603,
1.2716874,
1.3149515,
1.3684874,
1.4359909,
1.5218558,
1.6268246,
1.7584658,
1.923109,
2.1294004
],
[
1.2607064,
1.3303136,
1.3450786,
1.3632265,
1.3856517,
1.4137472,
1.4487506,
1.4923286,
1.546418,
1.6132351,
1.6975235,
1.8032221,
1.9361679,
2.099074,
2.3064917
]
]
},
"related_pin": "SET_B",
"rise_transition,delay_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00809,
0.01011,
0.01264,
0.0158,
0.01975,
0.02469,
0.03086,
0.03857,
0.04821,
0.06026,
0.07533,
0.09416,
0.1177,
0.14712
],
"values": [
[
0.0326128,
0.1082839,
0.1275834,
0.1525254,
0.1834721,
0.223069,
0.2724701,
0.3337843,
0.4124286,
0.5092723,
0.6305835,
0.7829614,
0.9752381,
1.2135996,
1.5091449
],
[
0.0326285,
0.1083319,
0.1276918,
0.1525694,
0.183364,
0.2229295,
0.2724159,
0.3346722,
0.4115962,
0.509598,
0.6303037,
0.7843543,
0.9750711,
1.2127287,
1.5113527
],
[
0.0326107,
0.1081206,
0.1277813,
0.1524883,
0.1836776,
0.2227777,
0.2725526,
0.3345882,
0.4113316,
0.5097862,
0.6307427,
0.78434,
0.9763781,
1.2136001,
1.509045
],
[
0.0326204,
0.1081969,
0.1277558,
0.1525217,
0.1835839,
0.2229343,
0.2724104,
0.3346801,
0.4116165,
0.509621,
0.6300988,
0.7843852,
0.9755167,
1.2129527,
1.5110214
],
[
0.0326004,
0.108155,
0.1277636,
0.1525119,
0.1836284,
0.2228431,
0.2725134,
0.3346224,
0.4114252,
0.509752,
0.630598,
0.7843724,
0.9764554,
1.2136232,
1.50952
],
[
0.0325923,
0.1081468,
0.1277585,
0.1525081,
0.1836192,
0.2228527,
0.2725123,
0.3346101,
0.4113728,
0.5097658,
0.6305936,
0.7843677,
0.9764006,
1.2135647,
1.5096607
],
[
0.0325607,
0.108258,
0.1277928,
0.1522526,
0.1838035,
0.2226313,
0.2726681,
0.3343656,
0.4114787,
0.5098988,
0.6310858,
0.7839534,
0.9762446,
1.2141176,
1.5096607
],
[
0.0326763,
0.108335,
0.1275797,
0.1525275,
0.1834258,
0.2231221,
0.2721657,
0.3341676,
0.4124247,
0.5087234,
0.6314858,
0.7825504,
0.9748191,
1.2148467,
1.5107996
],
[
0.0325792,
0.108126,
0.1277457,
0.1525042,
0.1836664,
0.2228787,
0.2725134,
0.3345112,
0.4113779,
0.5098613,
0.631085,
0.7843861,
0.9766481,
1.213378,
1.5098169
],
[
0.0325303,
0.1082411,
0.1277837,
0.1522709,
0.1837918,
0.2224993,
0.272646,
0.3344003,
0.411327,
0.5098999,
0.6309256,
0.7840805,
0.9764832,
1.2141379,
1.5082354
],
[
0.0322394,
0.1082729,
0.1276884,
0.1524699,
0.1832282,
0.2231282,
0.2720827,
0.3347225,
0.4120411,
0.508998,
0.6313052,
0.7844265,
0.9751073,
1.212464,
1.5109134
],
[
0.0325289,
0.1083649,
0.1279107,
0.1522093,
0.1837309,
0.2227191,
0.2721614,
0.3338675,
0.4123755,
0.5079163,
0.6318819,
0.7837398,
0.9744492,
1.215314,
1.5122871
],
[
0.0329774,
0.1086467,
0.1278555,
0.152719,
0.1835055,
0.2231516,
0.2723415,
0.3343093,
0.4109823,
0.5075932,
0.6308332,
0.7837246,
0.9708054,
1.210365,
1.5092285
]
]
},
"timing_sense": "negative_unate",
"timing_type": "preset"
},
{
"cell_fall,delay_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00809,
0.01011,
0.01264,
0.0158,
0.01975,
0.02469,
0.03086,
0.03857,
0.04821,
0.06026,
0.07533,
0.09416,
0.1177,
0.14712
],
"values": [
[
0.5335806,
0.591479,
0.6024861,
0.6156476,
0.6314136,
0.6505008,
0.6738277,
0.7024564,
0.7377751,
0.7816927,
0.8364077,
0.9047193,
0.9900336,
1.0973153,
1.2310307
],
[
0.5373276,
0.5952419,
0.6062499,
0.61941,
0.6351629,
0.6542723,
0.6776003,
0.7062203,
0.7414294,
0.785306,
0.8401478,
0.9086253,
0.9941046,
1.1010757,
1.2346963
],
[
0.5420079,
0.5998242,
0.6108386,
0.6239734,
0.6397819,
0.6588978,
0.6821721,
0.7107042,
0.7460091,
0.789967,
0.8447809,
0.9132096,
0.9986536,
1.1055978,
1.2394878
],
[
0.548677,
0.6065448,
0.6175535,
0.6306858,
0.6464965,
0.6655846,
0.6888075,
0.7174197,
0.7527469,
0.7965995,
0.8514049,
0.9199013,
1.0053891,
1.1123575,
1.2459267
],
[
0.5589139,
0.6167977,
0.6277779,
0.6409514,
0.6567363,
0.6758094,
0.6991333,
0.727762,
0.763087,
0.8069953,
0.8616816,
0.9299503,
1.0152095,
1.1219162,
1.2556409
],
[
0.5743367,
0.6322293,
0.643243,
0.6563794,
0.6721887,
0.6912237,
0.71454,
0.7431769,
0.7785154,
0.8224289,
0.8771445,
0.945488,
1.0308624,
1.1377503,
1.2716225
],
[
0.5976729,
0.6555006,
0.6664908,
0.6796288,
0.6954374,
0.7145499,
0.7378025,
0.7663531,
0.8016963,
0.845554,
0.9003527,
0.9688541,
1.0543383,
1.1612715,
1.2951441
],
[
0.6316954,
0.6895898,
0.7006175,
0.7137664,
0.7295418,
0.7486467,
0.7718624,
0.8005577,
0.8358636,
0.8797842,
0.9345236,
1.0028645,
1.0882499,
1.1951462,
1.3290877
],
[
0.6788884,
0.7367902,
0.7478024,
0.7609581,
0.7767066,
0.7958426,
0.8190669,
0.8476906,
0.8830214,
0.9268966,
0.9815557,
1.0500529,
1.1355563,
1.242354,
1.3751025
],
[
0.738514,
0.7964241,
0.8074246,
0.8205872,
0.836369,
0.8554338,
0.8787689,
0.907405,
0.9427125,
0.986649,
1.0414023,
1.1097742,
1.1951644,
1.301524,
1.4352377
],
[
0.8122758,
0.8701965,
0.8811748,
0.8943128,
0.9100947,
0.9292162,
0.9524067,
0.9810557,
1.0164816,
1.0603985,
1.1151452,
1.1834773,
1.2688408,
1.3756898,
1.5095722
],
[
0.9047693,
0.9626173,
0.9736408,
0.9867452,
1.0025147,
1.0216501,
1.0449611,
1.0734829,
1.1087526,
1.1528265,
1.2075726,
1.2758802,
1.3610728,
1.4683242,
1.6024616
],
[
1.0175663,
1.075491,
1.0865011,
1.0996611,
1.1154075,
1.1345308,
1.1577833,
1.1863593,
1.2216932,
1.2655483,
1.3203821,
1.3888664,
1.4743533,
1.5807643,
1.7136804
]
]
},
"cell_rise,delay_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00809,
0.01011,
0.01264,
0.0158,
0.01975,
0.02469,
0.03086,
0.03857,
0.04821,
0.06026,
0.07533,
0.09416,
0.1177,
0.14712
],
"values": [
[
0.4888966,
0.5603709,
0.5751135,
0.5934309,
0.6160601,
0.6441717,
0.6791762,
0.7229081,
0.7768585,
0.8441228,
0.9281141,
1.0335989,
1.1655507,
1.330911,
1.5384644
],
[
0.4927985,
0.5640978,
0.5790203,
0.5973201,
0.6199288,
0.6479265,
0.6826117,
0.7263532,
0.7807995,
0.8484344,
0.932741,
1.0382968,
1.170127,
1.3339211,
1.5404873
],
[
0.4970933,
0.5685969,
0.5833899,
0.6015962,
0.624213,
0.6523177,
0.6873128,
0.7311403,
0.7851399,
0.852421,
0.936446,
1.0417028,
1.1736071,
1.3390241,
1.5465358
],
[
0.5038847,
0.5753912,
0.5901752,
0.6084024,
0.6310271,
0.659132,
0.6941487,
0.737976,
0.7918592,
0.8589622,
0.9432516,
1.0487907,
1.1807693,
1.3463473,
1.5538909
],
[
0.5141785,
0.5856917,
0.600494,
0.6186846,
0.6413022,
0.6694049,
0.7044055,
0.7482513,
0.8022349,
0.8694436,
0.9534166,
1.0589098,
1.1908433,
1.3563514,
1.5638529
],
[
0.5295321,
0.6010342,
0.6158251,
0.6340365,
0.6566537,
0.6847585,
0.719754,
0.7635764,
0.8175759,
0.8848628,
0.9688899,
1.074134,
1.2060365,
1.371442,
1.5789507
],
[
0.5528744,
0.6243871,
0.6391845,
0.6573968,
0.6800316,
0.7081365,
0.7431614,
0.7869969,
0.8409155,
0.9081282,
0.9922045,
1.0976954,
1.2297211,
1.3951824,
1.602969
],
[
0.5869469,
0.658438,
0.6732035,
0.6914715,
0.7140948,
0.7422046,
0.7772036,
0.8209768,
0.8749509,
0.942237,
1.0262539,
1.1315601,
1.2634859,
1.4288332,
1.6363056
],
[
0.6334433,
0.7047974,
0.7197409,
0.7380674,
0.7607108,
0.7887598,
0.8236783,
0.8668919,
0.9214214,
0.9891349,
1.0735703,
1.1791597,
1.3112531,
1.4764384,
1.6805727
],
[
0.6923663,
0.7637695,
0.7787074,
0.7970474,
0.8196975,
0.8477822,
0.8827321,
0.9257694,
0.9803501,
1.048073,
1.1325503,
1.2381506,
1.3703079,
1.5355194,
1.7393987
],
[
0.7647832,
0.8362056,
0.8511524,
0.8694897,
0.8921342,
0.9202372,
0.9548011,
0.9984094,
1.0534661,
1.1205452,
1.2046163,
1.3097495,
1.4412017,
1.6064094,
1.8144424
],
[
0.8550943,
0.9266752,
0.9414316,
0.9597224,
0.9823462,
1.0104544,
1.0454509,
1.0884371,
1.1426153,
1.2101024,
1.294991,
1.400113,
1.5325418,
1.6989291,
1.9072701
],
[
0.9668161,
1.0385247,
1.0533406,
1.0715344,
1.0941847,
1.1222816,
1.1573247,
1.2011614,
1.2551059,
1.3222407,
1.4064601,
1.511941,
1.6432353,
1.8079399,
2.0135102
]
]
},
"fall_transition,delay_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00809,
0.01011,
0.01264,
0.0158,
0.01975,
0.02469,
0.03086,
0.03857,
0.04821,
0.06026,
0.07533,
0.09416,
0.1177,
0.14712
],
"values": [
[
0.028064,
0.0779836,
0.0895521,
0.1041358,
0.1221607,
0.1449485,
0.1737244,
0.210132,
0.2560197,
0.3138623,
0.3873019,
0.4799284,
0.5967335,
0.7417109,
0.9234012
],
[
0.0282868,
0.0779619,
0.0895726,
0.1040993,
0.1221872,
0.1448949,
0.173672,
0.2101395,
0.2560281,
0.3140022,
0.3873322,
0.4801651,
0.5969962,
0.7424735,
0.9224251
],
[
0.0285376,
0.0778776,
0.0896751,
0.1039245,
0.1222196,
0.1450624,
0.1737931,
0.2099409,
0.2555847,
0.3140762,
0.3876362,
0.4791916,
0.5961314,
0.74319,
0.9199332
],
[
0.0285878,
0.0779678,
0.0895706,
0.1037844,
0.1220155,
0.1448125,
0.1735911,
0.2101371,
0.2561931,
0.3142872,
0.3877695,
0.4803114,
0.597069,
0.7428298,
0.9224946
],
[
0.0284093,
0.0779287,
0.0896875,
0.1041412,
0.1221304,
0.1449719,
0.1737352,
0.2100736,
0.2558163,
0.31425,
0.3878412,
0.4800084,
0.5967021,
0.7403471,
0.9273177
],
[
0.0283168,
0.077922,
0.0896449,
0.1039613,
0.1221916,
0.1448167,
0.1736197,
0.2099128,
0.2557009,
0.3141271,
0.3874089,
0.4801379,
0.5959979,
0.7420147,
0.923283
],
[
0.0284943,
0.0779189,
0.0896691,
0.103963,
0.1222297,
0.1450499,
0.173811,
0.2101399,
0.2562562,
0.3144596,
0.3876433,
0.4801584,
0.5966096,
0.7430774,
0.9198611
],
[
0.0281913,
0.0780305,
0.0896701,
0.1040347,
0.1220768,
0.1449733,
0.1734779,
0.2101034,
0.2561768,
0.3139358,
0.3875284,
0.4804091,
0.595252,
0.7423948,
0.919374
],
[
0.028309,
0.0779002,
0.0896178,
0.1040322,
0.1221751,
0.1448639,
0.1734976,
0.2100439,
0.2562747,
0.3146022,
0.3880997,
0.4806999,
0.5966235,
0.7429873,
0.9246985
],
[
0.028246,
0.0779994,
0.0893433,
0.1041203,
0.1221207,
0.1449565,
0.1737233,
0.2101015,
0.2561997,
0.314383,
0.3877791,
0.4804668,
0.5952054,
0.7405851,
0.9272961
],
[
0.0281936,
0.0779146,
0.0896464,
0.1039954,
0.1216923,
0.1445624,
0.1732318,
0.2099785,
0.2562027,
0.3143414,
0.3876242,
0.4804774,
0.5954283,
0.7420538,
0.9233134
],
[
0.0282593,
0.0779837,
0.089666,
0.1038635,
0.1220436,
0.1448058,
0.1733054,
0.2099275,
0.2562819,
0.3144942,
0.3868561,
0.4786994,
0.5948292,
0.7404393,
0.9226612
],
[
0.0283063,
0.07796,
0.0895937,
0.1040868,
0.1222042,
0.1448596,
0.1737271,
0.2101429,
0.2560965,
0.3141482,
0.3875887,
0.4789913,
0.5937037,
0.7379548,
0.9202315
]
]
},
"related_pin": "CLK",
"rise_transition,delay_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5
],
"index_2": [
0,
0.00809,
0.01011,
0.01264,
0.0158,
0.01975,
0.02469,
0.03086,
0.03857,
0.04821,
0.06026,
0.07533,
0.09416,
0.1177,
0.14712
],
"values": [
[
0.0361294,
0.1099862,
0.1293723,
0.1536255,
0.1845716,
0.2239351,
0.2725255,
0.3350311,
0.4126134,
0.5099214,
0.6311074,
0.781606,
0.9744049,
1.209518,
1.511135
],
[
0.0356659,
0.110015,
0.1293954,
0.1536711,
0.1843913,
0.2237363,
0.2731057,
0.3351964,
0.4123488,
0.5082761,
0.6308329,
0.7838226,
0.9715772,
1.2130964,
1.5081133
],
[
0.0362064,
0.1101742,
0.1291967,
0.1538607,
0.1842271,
0.2238988,
0.2728625,
0.3347637,
0.4125666,
0.509951,
0.6313289,
0.7812098,
0.9745048,
1.2100779,
1.5114298
],
[
0.0362501,
0.1101661,
0.1292315,
0.153826,
0.1844335,
0.2239414,
0.2725098,
0.3352022,
0.4127879,
0.5097274,
0.630354,
0.7831883,
0.9741025,
1.2104001,
1.5077091
],
[
0.0362411,
0.1101994,
0.1291657,
0.1538758,
0.1841985,
0.2238894,
0.2728378,
0.3349364,
0.4127036,
0.5099232,
0.6310794,
0.7821317,
0.9744202,
1.2086165,
1.5092902
],
[
0.0362033,
0.1101684,
0.1292089,
0.1538553,
0.1842496,
0.223905,
0.2728535,
0.3347505,
0.4125536,
0.5099495,
0.6313421,
0.7812588,
0.9745071,
1.2101931,
1.5115565
],
[
0.0358159,
0.1101545,
0.1291556,
0.1538191,
0.1844067,
0.2239355,
0.2725004,
0.3351028,
0.4127119,
0.5098841,
0.6307571,
0.7824958,
0.9742667,
1.2097952,
1.5074769
],
[
0.0361751,
0.1100865,
0.1293177,
0.1537616,
0.1844755,
0.2239467,
0.2726518,
0.3348657,
0.4125529,
0.5099385,
0.6313089,
0.7813835,
0.9744693,
1.2104023,
1.5117607
],
[
0.0359585,
0.1099997,
0.129227,
0.1537404,
0.1845919,
0.2239558,
0.2724312,
0.3350687,
0.4127712,
0.5098225,
0.6301275,
0.7824224,
0.974515,
1.2116665,
1.5102932
],
[
0.0359509,
0.1100269,
0.1291334,
0.1537282,
0.1846825,
0.2239363,
0.2724737,
0.3350406,
0.4127436,
0.5099421,
0.631014,
0.7817709,
0.9746223,
1.212152,
1.5094936
],
[
0.0357962,
0.1101445,
0.1291772,
0.1536837,
0.1844445,
0.2236621,
0.2726919,
0.3351703,
0.4127625,
0.5099337,
0.6316213,
0.7827548,
0.9744134,
1.2105804,
1.5114685
],
[
0.0363399,
0.1101285,
0.1294077,
0.1537865,
0.1844246,
0.2238507,
0.27261,
0.3347404,
0.4119346,
0.5101052,
0.6299756,
0.7838255,
0.9732656,
1.2103092,
1.508523
],
[
0.0361182,
0.1103353,
0.129215,
0.1539529,
0.1844699,
0.2239843,
0.27258,
0.3351807,
0.4128084,
0.5088499,
0.6320727,
0.7816968,
0.9708671,
1.2072142,
1.508986
]
]
},
"timing_sense": "non_unate",
"timing_type": "rising_edge"
}
]
},
"pin,SET_B": {
"capacitance": 0.003544,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.003509,
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.0050382,
0.004854,
0.004669,
0.0044894,
0.0043092,
0.0041528,
0.0040079,
0.0037993,
0.003576,
0.0034094,
0.0032489,
0.0030976,
0.0029601
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
-0.0005812,
-0.0007718,
-0.0009645,
-0.0011714,
-0.0013924,
-0.0015957,
-0.001811,
-0.0020139,
-0.0022288,
-0.0024103,
-0.0025933,
-0.0027783,
-0.0029663
]
}
},
"max_transition": 1.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.00358,
"timing": [
{
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.2461049,
-0.2389307,
-0.2269417,
-0.2036306,
-0.1807118,
-0.1397499,
-0.1167177,
-0.0936856,
-0.0765128,
-0.0651994
],
[
-0.2592855,
-0.2459525,
-0.2342629,
-0.2167773,
-0.1938706,
-0.1470711,
-0.124039,
-0.1010068,
-0.0896934,
-0.07838
],
[
-0.2764068,
-0.263074,
-0.2513736,
-0.2340831,
-0.2051754,
-0.1641447,
-0.1411603,
-0.11809,
-0.1068146,
-0.0955012
],
[
-0.310487,
-0.2974638,
-0.2854538,
-0.267977,
-0.2390499,
-0.1982095,
-0.1752408,
-0.1522086,
-0.1350366,
-0.1237229
],
[
-0.3762608,
-0.3631176,
-0.3512714,
-0.3341026,
-0.3046566,
-0.269894,
-0.2379752,
-0.2175161,
-0.2008092,
-0.1894958
],
[
-0.4750397,
-0.4678634,
-0.4558659,
-0.4385205,
-0.4101023,
-0.3691406,
-0.3454672,
-0.3173447,
-0.3014265,
-0.2945243
],
[
-0.5511666,
-0.5439891,
-0.5320032,
-0.5149095,
-0.4913679,
-0.4505556,
-0.421875,
-0.4049306,
-0.3872032,
-0.3703566
],
[
-0.6267689,
-0.6196465,
-0.6079248,
-0.584259,
-0.5553695,
-0.520728,
-0.498403,
-0.46875,
-0.4562809,
-0.4310637
],
[
-0.6835276,
-0.6763499,
-0.6643642,
-0.6410176,
-0.6185974,
-0.5713509,
-0.5501609,
-0.5338733,
-0.5141807,
-0.4923771
],
[
-0.7343099,
-0.727132,
-0.715788,
-0.6918,
-0.6692548,
-0.6288208,
-0.6114501,
-0.5909862,
-0.567954,
-0.5546018
]
]
},
"timing_type": "recovery_rising"
},
{
"related_pin": "CLK",
"rise_constraint,vio_10_10_1": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.2754018,
0.2623651,
0.2562384,
0.2328919,
0.2044402,
0.1690468,
0.1401552,
0.1229825,
0.1058097,
0.0944962
],
[
0.2885824,
0.2755456,
0.2635596,
0.246187,
0.217261,
0.176368,
0.1533358,
0.1303037,
0.1131309,
0.1018175
],
[
0.3057036,
0.2926895,
0.2806808,
0.2574407,
0.2291841,
0.1936338,
0.1704571,
0.1474249,
0.130252,
0.1189387
],
[
0.3339245,
0.3208878,
0.3089017,
0.2916411,
0.2625772,
0.2285276,
0.1985786,
0.1756465,
0.1643334,
0.1538024
],
[
0.3996983,
0.3866729,
0.3749855,
0.3571883,
0.3280941,
0.2933204,
0.2631881,
0.2381513,
0.2301061,
0.2129333
],
[
0.4984771,
0.4854397,
0.4793138,
0.4559672,
0.4333018,
0.3926009,
0.3695459,
0.342757,
0.329341,
0.3178828
],
[
0.5746041,
0.561565,
0.5495813,
0.5321955,
0.509038,
0.4737435,
0.445202,
0.4206706,
0.3992482,
0.3872197
],
[
0.6502063,
0.6371678,
0.6254132,
0.6020553,
0.5786455,
0.5381106,
0.5152196,
0.4921875,
0.4723226,
0.4495531
],
[
0.7011058,
0.6939258,
0.6819423,
0.6585958,
0.6360513,
0.5898008,
0.5730443,
0.5445165,
0.5320297,
0.5031736
],
[
0.7518885,
0.7447095,
0.7327219,
0.7035192,
0.6809543,
0.6463421,
0.6196546,
0.6150626,
0.5855322,
0.5614919
]
]
},
"timing_type": "removal_rising"
}
]
}
}