blob: 174967c25991e9e1aa9c2a04e701df02b980dd8c [file] [log] [blame]
{
"area": 15.6288,
"cell_footprint": "sky130_fd_sc_hvl__inv",
"cell_leakage_power": 0.6378593,
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"leakage_power": [
{
"value": 0.392762,
"when": "A"
},
{
"value": 0.8829565,
"when": "!A"
}
],
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,A": {
"capacitance": 0.014113,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.013692,
"max_transition": 3.75,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.014534
},
"pin,Y": {
"direction": "output",
"function": "(!A)",
"internal_power": {
"fall_power,pwr_template11x29": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0,
0.00958,
0.01149,
0.01379,
0.01655,
0.01986,
0.02383,
0.0286,
0.03432,
0.04118,
0.04941,
0.05929,
0.07115,
0.08538,
0.10245,
0.12294,
0.14753,
0.17704,
0.21245,
0.25494,
0.30593,
0.36711,
0.44053,
0.52863,
0.63436,
0.76123,
0.91347,
1.09616,
1.31539
],
"values": [
[
-0.0164369,
-0.0301691,
-0.0339158,
-0.0382872,
-0.0434185,
-0.0496984,
-0.0572355,
-0.0662816,
-0.0771177,
-0.0903582,
-0.1056283,
-0.1243107,
-0.1468822,
-0.1739562,
-0.2066796,
-0.2454613,
-0.2920674,
-0.3476416,
-0.4145299,
-0.4964915,
-0.5934564,
-0.7088881,
-0.8494103,
-1.0180704,
-1.2184885,
-1.4580814,
-1.7494543,
-2.0989841,
-2.5132333
],
[
-0.0156378,
-0.0311184,
-0.0346015,
-0.0388443,
-0.0439509,
-0.0501349,
-0.0576272,
-0.0666265,
-0.0774257,
-0.0904152,
-0.1060444,
-0.1248013,
-0.1472932,
-0.1743282,
-0.2067435,
-0.2456799,
-0.292423,
-0.3485421,
-0.4158567,
-0.4966491,
-0.5935352,
-0.7098999,
-0.849436,
-1.0169705,
-1.2180287,
-1.459133,
-1.7485795,
-2.0961077,
-2.5128151
],
[
-0.017102,
-0.0320486,
-0.0354152,
-0.0395362,
-0.0445676,
-0.0506863,
-0.0580612,
-0.0669787,
-0.0777405,
-0.0906919,
-0.1062705,
-0.1249576,
-0.1474101,
-0.1744702,
-0.2068372,
-0.2457486,
-0.2925227,
-0.3486092,
-0.4158527,
-0.4966444,
-0.593573,
-0.7098858,
-0.8494625,
-1.0169843,
-1.2179603,
-1.4593257,
-1.748618,
-2.0959618,
-2.5127581
],
[
-0.01973,
-0.034306,
-0.0375232,
-0.0414669,
-0.0463229,
-0.0521639,
-0.059311,
-0.0681571,
-0.0787491,
-0.0915578,
-0.1070263,
-0.1256164,
-0.1480739,
-0.1749838,
-0.2073183,
-0.2460949,
-0.2928807,
-0.3489242,
-0.4161889,
-0.4969227,
-0.5938769,
-0.7101102,
-0.8496152,
-1.0171398,
-1.2180517,
-1.4591806,
-1.7489427,
-2.0956047,
-2.512847
],
[
-0.0216526,
-0.0373564,
-0.0405231,
-0.0444454,
-0.0491612,
-0.055208,
-0.0622041,
-0.0706702,
-0.0811265,
-0.0937479,
-0.1089479,
-0.1273069,
-0.149469,
-0.1761669,
-0.2083478,
-0.2470052,
-0.2935203,
-0.3494592,
-0.4165885,
-0.4970411,
-0.5940879,
-0.7105792,
-0.8498951,
-1.0172336,
-1.2181078,
-1.459803,
-1.7482987,
-2.096565,
-2.5131679
],
[
-0.0221989,
-0.0387501,
-0.0421258,
-0.0461202,
-0.0510383,
-0.0569362,
-0.0640917,
-0.0727054,
-0.083093,
-0.0955662,
-0.1106951,
-0.1289776,
-0.1510114,
-0.1776357,
-0.2095802,
-0.2481497,
-0.2945514,
-0.3503313,
-0.4173404,
-0.4979204,
-0.5942448,
-0.7106304,
-0.8500637,
-1.017583,
-1.2184251,
-1.4594571,
-1.7487607,
-2.0969524,
-2.5128065
],
[
-0.0217824,
-0.039339,
-0.0428333,
-0.0470827,
-0.0521879,
-0.0582046,
-0.065484,
-0.0742836,
-0.0847923,
-0.0974328,
-0.1125174,
-0.1308045,
-0.1528091,
-0.1792773,
-0.2111428,
-0.2496871,
-0.2958882,
-0.3516162,
-0.418454,
-0.4988268,
-0.5953753,
-0.7114972,
-0.8508468,
-1.0181063,
-1.2190368,
-1.4599335,
-1.7492629,
-2.0968884,
-2.513415
],
[
-0.0205694,
-0.0390504,
-0.0426165,
-0.0469498,
-0.0521098,
-0.0582665,
-0.0656651,
-0.0745128,
-0.0851419,
-0.0978912,
-0.1131746,
-0.1315914,
-0.1536584,
-0.1800949,
-0.2120538,
-0.2507828,
-0.2969831,
-0.3526258,
-0.419484,
-0.4997625,
-0.5963313,
-0.7122542,
-0.8514693,
-1.0186853,
-1.2193878,
-1.460352,
-1.7497513,
-2.0966974,
-2.5131352
],
[
-0.0169536,
-0.0359184,
-0.0396439,
-0.0440695,
-0.0493089,
-0.0555855,
-0.0633733,
-0.0725096,
-0.083432,
-0.0967777,
-0.1129741,
-0.1315908,
-0.153935,
-0.1807396,
-0.2127862,
-0.2517514,
-0.2981499,
-0.3538743,
-0.4207592,
-0.5010848,
-0.5975071,
-0.7134366,
-0.8526024,
-1.0197334,
-1.2203688,
-1.4612415,
-1.75045,
-2.0974994,
-2.5141057
],
[
-0.0151561,
-0.0345463,
-0.0382975,
-0.0427928,
-0.0481724,
-0.0545804,
-0.0620902,
-0.0713495,
-0.0824372,
-0.095564,
-0.1113108,
-0.1306662,
-0.1534296,
-0.1804889,
-0.2126727,
-0.2516595,
-0.2981838,
-0.3540324,
-0.4210251,
-0.5013946,
-0.5978665,
-0.7136808,
-0.8528355,
-1.0199502,
-1.2206155,
-1.4614348,
-1.7506118,
-2.0977176,
-2.5142648
],
[
-0.0132193,
-0.0329741,
-0.0367804,
-0.0413484,
-0.0468118,
-0.0532727,
-0.0610079,
-0.070083,
-0.0812686,
-0.0945974,
-0.1103686,
-0.129321,
-0.1523774,
-0.1798137,
-0.2123616,
-0.2511079,
-0.297488,
-0.3539521,
-0.4210254,
-0.501522,
-0.5980415,
-0.7139483,
-0.853027,
-1.0200573,
-1.2207326,
-1.4616177,
-1.7507621,
-2.0977964,
-2.5142537
]
]
},
"related_pin": "A",
"rise_power,pwr_template11x29": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0,
0.00958,
0.01149,
0.01379,
0.01655,
0.01986,
0.02383,
0.0286,
0.03432,
0.04118,
0.04941,
0.05929,
0.07115,
0.08538,
0.10245,
0.12294,
0.14753,
0.17704,
0.21245,
0.25494,
0.30593,
0.36711,
0.44053,
0.52863,
0.63436,
0.76123,
0.91347,
1.09616,
1.31539
],
"values": [
[
0.0489419,
0.0763136,
0.080241,
0.0846735,
0.0898798,
0.096088,
0.1034805,
0.1123692,
0.123748,
0.1364885,
0.1517009,
0.1703767,
0.1933288,
0.2195948,
0.2516783,
0.290255,
0.3361206,
0.3910971,
0.4592672,
0.5396099,
0.6341142,
0.7505573,
0.8891443,
1.0534414,
1.2504271,
1.4918983,
1.7786019,
2.1200438,
2.5331065
],
[
0.0497292,
0.0756586,
0.0794546,
0.0839238,
0.0892333,
0.0955561,
0.1032798,
0.1124334,
0.123286,
0.1360222,
0.1515803,
0.1702652,
0.1930106,
0.2198806,
0.252009,
0.2903648,
0.3370078,
0.3926016,
0.4592947,
0.5389505,
0.6350788,
0.7495473,
0.887611,
1.053466,
1.2519821,
1.4912274,
1.7779823,
2.1213087,
2.5330218
],
[
0.0512001,
0.0748209,
0.0786637,
0.0832402,
0.0886552,
0.0950564,
0.1026079,
0.1117915,
0.1228,
0.1360129,
0.151312,
0.1699794,
0.1927559,
0.2197535,
0.251626,
0.2905711,
0.3364905,
0.3925037,
0.4591215,
0.5386307,
0.6348567,
0.7490904,
0.8876492,
1.0530629,
1.2528329,
1.49093,
1.7768522,
2.1215724,
2.5329587
],
[
0.0513295,
0.0728991,
0.0767724,
0.0813074,
0.0865457,
0.0936766,
0.1011764,
0.110591,
0.1215505,
0.1349533,
0.1505175,
0.1693637,
0.1923027,
0.2188872,
0.2512435,
0.2902524,
0.3362273,
0.3921597,
0.4589723,
0.5391554,
0.6346146,
0.7494974,
0.8876972,
1.0529043,
1.2527565,
1.4905945,
1.7779243,
2.1219857,
2.5340585
],
[
0.0504856,
0.0700869,
0.0739783,
0.0786712,
0.0844268,
0.0911534,
0.0989417,
0.1083881,
0.1195095,
0.132843,
0.1487275,
0.1677833,
0.1908489,
0.2173025,
0.2501315,
0.2892384,
0.3350806,
0.391425,
0.4586114,
0.53803,
0.6343222,
0.7490478,
0.8874064,
1.0531031,
1.2515087,
1.4901648,
1.777312,
2.1213494,
2.5342989
],
[
0.0504425,
0.0693481,
0.073076,
0.0776943,
0.0832939,
0.0897361,
0.0976297,
0.1069532,
0.1177166,
0.13084,
0.1473183,
0.1663341,
0.1887521,
0.2162795,
0.2484762,
0.2880504,
0.3346723,
0.3903981,
0.4568625,
0.5373782,
0.63243,
0.7484312,
0.8868543,
1.0522801,
1.2518477,
1.4905788,
1.776671,
2.1209705,
2.5327342
],
[
0.0510648,
0.069081,
0.0731532,
0.0775795,
0.0825125,
0.0891533,
0.0963989,
0.1054503,
0.1165515,
0.1299203,
0.1458594,
0.1647007,
0.1872993,
0.2146649,
0.2474751,
0.2864155,
0.3336449,
0.3889199,
0.4560938,
0.536509,
0.6323541,
0.7472581,
0.8861013,
1.0524616,
1.2510246,
1.4902119,
1.7768032,
2.1199793,
2.5343079
],
[
0.051542,
0.0695242,
0.0730156,
0.0773989,
0.0827283,
0.0888453,
0.0966682,
0.1056933,
0.1164594,
0.1294458,
0.1452147,
0.1646725,
0.186886,
0.2143457,
0.247087,
0.2856766,
0.3319576,
0.388174,
0.4548246,
0.5351379,
0.6315642,
0.7467146,
0.88511,
1.0510908,
1.250414,
1.4897906,
1.7757357,
2.120647,
2.5324115
],
[
0.0520481,
0.0692026,
0.0726628,
0.0768176,
0.082114,
0.0889829,
0.0971387,
0.1066424,
0.1172629,
0.1305669,
0.1457386,
0.1645515,
0.1869462,
0.2139918,
0.2460869,
0.2852292,
0.3323027,
0.3868706,
0.4540189,
0.5342774,
0.6302249,
0.7453989,
0.8845023,
1.0503713,
1.2498082,
1.4891407,
1.774743,
2.1200306,
2.5319534
],
[
0.053806,
0.0704978,
0.0740066,
0.0781724,
0.0831918,
0.0892566,
0.0969082,
0.1061382,
0.1162216,
0.1303094,
0.1465638,
0.1648311,
0.1871143,
0.2141087,
0.2466312,
0.2851111,
0.3314393,
0.38693,
0.4537994,
0.5345591,
0.6304862,
0.7455865,
0.8836441,
1.049867,
1.2497151,
1.4880103,
1.7758403,
2.1191446,
2.5329049
],
[
0.0555557,
0.0720088,
0.0755048,
0.0796278,
0.0845221,
0.0906154,
0.0979187,
0.1073033,
0.117717,
0.1301374,
0.145658,
0.1657403,
0.1880017,
0.2146035,
0.246749,
0.2856218,
0.3318806,
0.3875151,
0.4536989,
0.5340586,
0.6300963,
0.7453366,
0.883693,
1.049506,
1.249682,
1.4887968,
1.7749537,
2.1197648,
2.532429
]
]
}
},
"max_capacitance": 0.530444,
"max_transition": 9.107906,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": {
"cell_fall,delay_template11x29": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0,
0.00958,
0.01149,
0.01379,
0.01655,
0.01986,
0.02383,
0.0286,
0.03432,
0.04118,
0.04941,
0.05929,
0.07115,
0.08538,
0.10245,
0.12294,
0.14753,
0.17704,
0.21245,
0.25494,
0.30593,
0.36711,
0.44053,
0.52863,
0.63436,
0.76123,
0.91347,
1.09616,
1.31539
],
"values": [
[
0.0635437,
0.1149269,
0.123458,
0.1336406,
0.145805,
0.1602995,
0.1776117,
0.1984656,
0.2232995,
0.2532334,
0.2889164,
0.3314462,
0.3827202,
0.4448066,
0.518419,
0.6062749,
0.7137548,
0.8413081,
0.9942003,
1.1778656,
1.4004075,
1.6620567,
1.9831188,
2.3641469,
2.8150813,
3.3697631,
4.0265902,
4.8117705,
5.7701861
],
[
0.0700192,
0.1179106,
0.1266165,
0.1369788,
0.14943,
0.1641273,
0.1816744,
0.2025524,
0.2274644,
0.2571491,
0.2932888,
0.3362993,
0.3876724,
0.4491242,
0.5229274,
0.6120592,
0.718399,
0.8458257,
1.001335,
1.1820807,
1.4049947,
1.6697657,
1.9836381,
2.3690252,
2.822707,
3.3741112,
4.0324548,
4.8202642,
5.7741256
],
[
0.0728027,
0.1215717,
0.1305317,
0.1412122,
0.1536965,
0.1684529,
0.1860475,
0.2064491,
0.2315699,
0.2615319,
0.2979187,
0.3411209,
0.3923223,
0.4531473,
0.5291321,
0.6176721,
0.7234157,
0.8504807,
1.0038079,
1.1879465,
1.4101431,
1.6702933,
1.9936559,
2.3728191,
2.8274243,
3.3781176,
4.0402317,
4.8221969,
5.7761507
],
[
0.0876994,
0.1370835,
0.1462362,
0.1570008,
0.1699527,
0.1850235,
0.2030269,
0.2241671,
0.2496969,
0.2792915,
0.3151788,
0.3583209,
0.4100581,
0.4714729,
0.5454577,
0.6344559,
0.7407641,
0.8697363,
1.0212339,
1.2059204,
1.4296021,
1.690952,
2.0104183,
2.3891717,
2.8481955,
3.3974539,
4.0519295,
4.8431263,
5.7955853
],
[
0.1372687,
0.1946195,
0.2036055,
0.2143534,
0.2270258,
0.2415089,
0.2595767,
0.2827688,
0.3084462,
0.3383137,
0.3749527,
0.4190475,
0.4707789,
0.5337157,
0.6070255,
0.6965764,
0.8046891,
0.9310263,
1.0849212,
1.2702548,
1.4873595,
1.7540834,
2.0679751,
2.452667,
2.9062279,
3.454762,
4.1151931,
4.9044995,
5.8482077
],
[
0.1831687,
0.2696929,
0.2831555,
0.2967189,
0.3131357,
0.3318462,
0.3510163,
0.3741426,
0.3997281,
0.4305258,
0.4672281,
0.5110298,
0.5624128,
0.6246917,
0.6992384,
0.787387,
0.8938455,
1.0239051,
1.1747451,
1.358745,
1.5805336,
1.8480389,
2.1625486,
2.5426574,
3.0024867,
3.5491756,
4.2031516,
4.9957354,
5.942534
],
[
0.2405726,
0.3666574,
0.3862103,
0.4091659,
0.4345794,
0.4620114,
0.4927903,
0.5263912,
0.5632179,
0.6039907,
0.64779,
0.6979653,
0.7508481,
0.8128578,
0.8872477,
0.9765331,
1.082735,
1.2113323,
1.3673752,
1.5491171,
1.7703964,
2.0390014,
2.3524958,
2.7370719,
3.1940905,
3.7404306,
4.4027706,
5.1815809,
6.1407159
],
[
0.2798052,
0.4400167,
0.4651169,
0.4931287,
0.5215867,
0.5582191,
0.5971235,
0.6398311,
0.6869323,
0.7396866,
0.7955981,
0.8572579,
0.9240859,
0.9977118,
1.0759003,
1.1662331,
1.2736221,
1.4025444,
1.5543562,
1.7412898,
1.9635042,
2.2248379,
2.5441347,
2.927226,
3.3799101,
3.9279682,
4.5823527,
5.3752554,
6.3162702
],
[
0.3516369,
0.5771307,
0.6112007,
0.6518988,
0.6962825,
0.7509321,
0.8071045,
0.8695324,
0.9374813,
1.0116458,
1.0954714,
1.1867509,
1.2860879,
1.3926958,
1.5091384,
1.635305,
1.7733396,
1.9265518,
2.0874262,
2.2705335,
2.4915892,
2.7592837,
3.073797,
3.4537659,
3.9107215,
4.4615835,
5.1199582,
5.9159442,
6.8582877
],
[
0.3780323,
0.6309404,
0.6722227,
0.7182539,
0.7697719,
0.8267314,
0.8898008,
0.9607855,
1.0360086,
1.1207498,
1.2195818,
1.3220653,
1.4339802,
1.554939,
1.686334,
1.8335489,
1.9901587,
2.1581432,
2.3447821,
2.5459586,
2.7685123,
3.0321043,
3.3514267,
3.7316563,
4.1930459,
4.7404307,
5.3993934,
6.1815006,
7.1397883
],
[
0.3995467,
0.6808292,
0.7250692,
0.7753052,
0.8314123,
0.8936086,
0.9636788,
1.0379551,
1.1234903,
1.2228663,
1.3268381,
1.4398084,
1.5651959,
1.6996964,
1.848431,
2.0094884,
2.1827005,
2.3733995,
2.5790463,
2.7987022,
3.04335,
3.3095016,
3.6276142,
4.0058845,
4.4645767,
5.0174198,
5.6703806,
6.4657382,
7.4025666
]
]
},
"cell_rise,delay_template11x29": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0,
0.00958,
0.01149,
0.01379,
0.01655,
0.01986,
0.02383,
0.0286,
0.03432,
0.04118,
0.04941,
0.05929,
0.07115,
0.08538,
0.10245,
0.12294,
0.14753,
0.17704,
0.21245,
0.25494,
0.30593,
0.36711,
0.44053,
0.52863,
0.63436,
0.76123,
0.91347,
1.09616,
1.31539
],
"values": [
[
0.0555707,
0.1238724,
0.1339188,
0.1453588,
0.158959,
0.1752205,
0.1946277,
0.2177574,
0.2453141,
0.2787163,
0.3192208,
0.3668635,
0.4243286,
0.4925786,
0.5761037,
0.6746543,
0.7938901,
0.936592,
1.1076782,
1.3158321,
1.5594178,
1.853411,
2.210417,
2.6385818,
3.1444953,
3.7560297,
4.5034412,
5.37857,
6.4473209
],
[
0.0626155,
0.1273262,
0.1371286,
0.1485369,
0.1623459,
0.1787357,
0.1982794,
0.2215936,
0.2500601,
0.2836453,
0.3237993,
0.3717946,
0.4289597,
0.4979471,
0.5807662,
0.6795774,
0.7989761,
0.9399076,
1.1124698,
1.3176287,
1.567234,
1.8580204,
2.2180893,
2.6454952,
3.153189,
3.7653405,
4.5100466,
5.3929798,
6.4412631
],
[
0.0716122,
0.1314629,
0.1412806,
0.1530344,
0.1668541,
0.1837144,
0.2029894,
0.2264079,
0.2540129,
0.2878785,
0.3282701,
0.3763782,
0.433497,
0.5022583,
0.5848985,
0.6846936,
0.8039217,
0.946215,
1.1180805,
1.3256295,
1.5682701,
1.8691126,
2.2239288,
2.6427527,
3.1611385,
3.7749119,
4.5018025,
5.3986073,
6.4574217
],
[
0.0930983,
0.1478691,
0.1576545,
0.1693965,
0.1832101,
0.200956,
0.2207987,
0.24498,
0.272885,
0.3065763,
0.3473981,
0.3955174,
0.4534172,
0.5221825,
0.6049294,
0.7044594,
0.8217781,
0.9652316,
1.1366057,
1.3424691,
1.5878251,
1.8873163,
2.2424755,
2.6660991,
3.1826146,
3.7914374,
4.5308486,
5.4181175,
6.4638841
],
[
0.1495762,
0.207361,
0.2171739,
0.2288289,
0.2437846,
0.2607609,
0.2811524,
0.3050949,
0.3332893,
0.3680929,
0.4084647,
0.457288,
0.5151842,
0.5835172,
0.6675779,
0.7665544,
0.8847958,
1.0261593,
1.1990871,
1.4070199,
1.6499826,
1.9511588,
2.3036735,
2.7299423,
3.2422449,
3.8491219,
4.5944226,
5.4682037,
6.5404251
],
[
0.2005881,
0.2902117,
0.3038903,
0.3192251,
0.3366019,
0.3553502,
0.3754403,
0.3990694,
0.4262891,
0.460307,
0.5028787,
0.5525742,
0.6095098,
0.6794709,
0.7628103,
0.8617517,
0.9814107,
1.1243412,
1.2952605,
1.4973156,
1.748894,
2.0388691,
2.3976368,
2.8192737,
3.3350023,
3.9407504,
4.6836082,
5.5619753,
6.6269776
],
[
0.2666883,
0.4006381,
0.4214658,
0.4445795,
0.4711302,
0.4989938,
0.531337,
0.5664592,
0.6052026,
0.6492005,
0.6957026,
0.7446305,
0.8019712,
0.871357,
0.9539942,
1.0535303,
1.1720439,
1.3160839,
1.4856553,
1.6944122,
1.9407425,
2.2332474,
2.5921494,
3.0170855,
3.5290479,
4.140968,
4.8844622,
5.7634786,
6.8220918
],
[
0.3144716,
0.4838211,
0.5102291,
0.5387525,
0.5715763,
0.6066198,
0.6486558,
0.6933153,
0.7421597,
0.7961675,
0.8549053,
0.920477,
0.9903379,
1.0659981,
1.1492036,
1.2476813,
1.3695379,
1.5129457,
1.6835621,
1.8934221,
2.1363835,
2.4384554,
2.790588,
3.2194298,
3.728982,
4.3449719,
5.0744293,
5.9640515,
7.0230151
],
[
0.4110283,
0.6496768,
0.6870062,
0.7284225,
0.776332,
0.8261145,
0.8888409,
0.9533694,
1.0248535,
1.1011903,
1.1921254,
1.2866922,
1.3894216,
1.4994648,
1.6238398,
1.7552296,
1.8991653,
2.0558928,
2.2273827,
2.434092,
2.6825375,
2.9751381,
3.3356478,
3.7617283,
4.2670218,
4.8894431,
5.618543,
6.5110353,
7.5661939
],
[
0.4503145,
0.7169696,
0.7587834,
0.8065782,
0.8585479,
0.9171356,
0.9866755,
1.0556628,
1.1411037,
1.230838,
1.3303842,
1.437353,
1.5546534,
1.6811809,
1.8212657,
1.9717883,
2.1353206,
2.3140634,
2.5075371,
2.71639,
2.966005,
3.2626859,
3.6150576,
4.037215,
4.5484057,
5.1650244,
5.9064562,
6.7932713,
7.8531848
],
[
0.4862722,
0.7779193,
0.8226628,
0.8746588,
0.9339759,
0.9992289,
1.0745274,
1.1545066,
1.2411198,
1.3438414,
1.4536282,
1.5719626,
1.7028193,
1.8452688,
2.0000572,
2.1672071,
2.3507509,
2.5467009,
2.7618203,
2.9950871,
3.2474545,
3.5423249,
3.8979784,
4.3241988,
4.8401949,
5.4507296,
6.1903793,
7.0732806,
8.1377758
]
]
},
"fall_transition,delay_template11x29": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0,
0.00958,
0.01149,
0.01379,
0.01655,
0.01986,
0.02383,
0.0286,
0.03432,
0.04118,
0.04941,
0.05929,
0.07115,
0.08538,
0.10245,
0.12294,
0.14753,
0.17704,
0.21245,
0.25494,
0.30593,
0.36711,
0.44053,
0.52863,
0.63436,
0.76123,
0.91347,
1.09616,
1.31539
],
"values": [
[
0.0392522,
0.0968043,
0.1082953,
0.1222904,
0.1388938,
0.1586239,
0.1823122,
0.2108938,
0.2454203,
0.2865597,
0.3353597,
0.3953254,
0.465096,
0.5513063,
0.6530165,
0.7746888,
0.9214825,
1.0966406,
1.3087685,
1.5620217,
1.86607,
2.23111,
2.6742832,
3.1914678,
3.830503,
4.5816106,
5.4919527,
6.586526,
7.8774773
],
[
0.0391613,
0.096898,
0.1084116,
0.1220685,
0.138738,
0.1584577,
0.182218,
0.2105914,
0.2453718,
0.2863752,
0.3356222,
0.3947966,
0.4658518,
0.5489726,
0.6523333,
0.7741989,
0.9223129,
1.0977441,
1.3084086,
1.5614062,
1.8640436,
2.2296547,
2.671074,
3.197433,
3.8285184,
4.5859445,
5.4905023,
6.5852861,
7.8836191
],
[
0.0391892,
0.0967852,
0.1082578,
0.1222697,
0.1391353,
0.1591147,
0.1830029,
0.2114827,
0.2458637,
0.2870811,
0.3359895,
0.3942519,
0.4636752,
0.5495094,
0.6522038,
0.7728222,
0.9207081,
1.0965804,
1.3074908,
1.5617893,
1.8653645,
2.2299953,
2.6742726,
3.199097,
3.8269956,
4.5848063,
5.4881077,
6.5871477,
7.8899909
],
[
0.0392627,
0.0965883,
0.1084955,
0.1221479,
0.1385141,
0.1586602,
0.1819127,
0.2112828,
0.2456047,
0.2862532,
0.3353328,
0.3950665,
0.4661062,
0.5502953,
0.6515254,
0.7742107,
0.9215097,
1.097442,
1.3088847,
1.5599075,
1.8643636,
2.2303162,
2.6753946,
3.1938545,
3.826929,
4.5855453,
5.4906448,
6.5858492,
7.8759301
],
[
0.0644985,
0.1047745,
0.1143445,
0.1260878,
0.1410049,
0.1594714,
0.1821701,
0.2107001,
0.2450874,
0.2852409,
0.3354622,
0.3946118,
0.465386,
0.5506275,
0.652295,
0.7749567,
0.9210565,
1.0974776,
1.3081791,
1.5614254,
1.8647195,
2.2292905,
2.6703915,
3.1920864,
3.8298498,
4.5859836,
5.4937557,
6.573349,
7.8749931
],
[
0.0992021,
0.1532926,
0.162389,
0.1687992,
0.1793957,
0.1933655,
0.2111556,
0.2333597,
0.2618693,
0.2972755,
0.3415091,
0.3970902,
0.4653673,
0.5493302,
0.6515276,
0.7737241,
0.9205605,
1.0962119,
1.3075053,
1.5592507,
1.8659099,
2.2349571,
2.6719507,
3.1977101,
3.8242862,
4.5843458,
5.4871503,
6.5843029,
7.8743057
],
[
0.1541605,
0.2348007,
0.2464157,
0.2590428,
0.274089,
0.2905083,
0.3097828,
0.3309276,
0.3534494,
0.37774,
0.4138178,
0.4577851,
0.5123773,
0.5830393,
0.6729203,
0.7851921,
0.9245169,
1.0972787,
1.3084089,
1.5623146,
1.8659947,
2.2289586,
2.6684646,
3.1993328,
3.8264121,
4.5848449,
5.4881721,
6.5871775,
7.8845686
],
[
0.1991304,
0.3011402,
0.3148872,
0.331445,
0.3512817,
0.3715794,
0.3941942,
0.4219753,
0.4480659,
0.4814508,
0.5153366,
0.5540942,
0.6036779,
0.661457,
0.7394358,
0.8377289,
0.9649306,
1.1223313,
1.3183687,
1.5640097,
1.864005,
2.2289519,
2.6746507,
3.1922474,
3.8292494,
4.5765662,
5.4945897,
6.5795613,
7.8976523
],
[
0.2972911,
0.447878,
0.4707563,
0.4958028,
0.522954,
0.5546234,
0.5894601,
0.6252034,
0.6669815,
0.7140383,
0.7622391,
0.818104,
0.876643,
0.9431348,
1.0169324,
1.0916001,
1.1961255,
1.3142826,
1.4767955,
1.6800099,
1.9432034,
2.2708238,
2.6904954,
3.203158,
3.8309436,
4.587414,
5.4940541,
6.5744947,
7.8962774
],
[
0.3384361,
0.5109532,
0.5379456,
0.5673826,
0.5992396,
0.6345848,
0.6732409,
0.7143695,
0.7633654,
0.8155686,
0.8683584,
0.9306168,
0.9982268,
1.069775,
1.1512137,
1.2457425,
1.3411714,
1.453674,
1.5999871,
1.7889491,
2.0343704,
2.3396971,
2.7387959,
3.2259844,
3.8316705,
4.5832738,
5.4903615,
6.5872721,
7.8872233
],
[
0.3794335,
0.570093,
0.598804,
0.631259,
0.6672535,
0.7070701,
0.7495189,
0.7977298,
0.849394,
0.9087542,
0.9686207,
1.0373494,
1.112694,
1.1928535,
1.2841481,
1.3844905,
1.4924961,
1.6051481,
1.7423593,
1.9141706,
2.1414162,
2.4344524,
2.8035563,
3.2800398,
3.8685011,
4.5872488,
5.4967981,
6.5782482,
7.8985174
]
]
},
"related_pin": "A",
"rise_transition,delay_template11x29": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0,
0.00958,
0.01149,
0.01379,
0.01655,
0.01986,
0.02383,
0.0286,
0.03432,
0.04118,
0.04941,
0.05929,
0.07115,
0.08538,
0.10245,
0.12294,
0.14753,
0.17704,
0.21245,
0.25494,
0.30593,
0.36711,
0.44053,
0.52863,
0.63436,
0.76123,
0.91347,
1.09616,
1.31539
],
"values": [
[
0.0452411,
0.1116658,
0.1246045,
0.1406077,
0.1595863,
0.1823913,
0.2095191,
0.2429589,
0.282772,
0.329372,
0.3868327,
0.4540146,
0.5362065,
0.6337376,
0.7504788,
0.8923137,
1.061375,
1.2634266,
1.5074677,
1.7990582,
2.1476854,
2.5697997,
3.0733669,
3.6886805,
4.4110031,
5.2771658,
6.3376193,
7.5865678,
9.1069117
],
[
0.0451249,
0.1112871,
0.1245936,
0.1408966,
0.159977,
0.1829339,
0.2103895,
0.2433466,
0.2821803,
0.3296886,
0.3868091,
0.4548039,
0.5362121,
0.6346521,
0.7506541,
0.8919043,
1.0610662,
1.2633999,
1.5054877,
1.7983992,
2.150182,
2.5701345,
3.0786875,
3.677791,
4.4171378,
5.2826667,
6.3277938,
7.5945801,
9.1000869
],
[
0.0451923,
0.1114073,
0.1246647,
0.140301,
0.1601628,
0.1828623,
0.2096965,
0.2426885,
0.2827381,
0.329329,
0.3868069,
0.4547267,
0.5361191,
0.6330433,
0.7508465,
0.892747,
1.0620949,
1.2637307,
1.5057313,
1.7979613,
2.1491215,
2.5765468,
3.0731169,
3.6881165,
4.4075961,
5.2891003,
6.3298748,
7.5897253,
9.1042729
],
[
0.0453168,
0.1113901,
0.12501,
0.141082,
0.1594709,
0.1825302,
0.209979,
0.2433007,
0.2816196,
0.3294833,
0.3865118,
0.4541122,
0.5365356,
0.6338442,
0.7503591,
0.8920155,
1.0603581,
1.2638361,
1.5075391,
1.7999824,
2.1490152,
2.5676581,
3.0732772,
3.6862799,
4.4124642,
5.2884981,
6.3245649,
7.5949291,
9.0931271
],
[
0.0702722,
0.1173642,
0.1287997,
0.1430976,
0.160597,
0.1823286,
0.209907,
0.242563,
0.2820448,
0.3296663,
0.3867627,
0.4536866,
0.5360873,
0.6343433,
0.7518387,
0.8917929,
1.0614898,
1.264863,
1.5074532,
1.7989853,
2.149223,
2.5756428,
3.0735312,
3.6808929,
4.4164659,
5.2769042,
6.3382082,
7.5843438,
9.1032177
],
[
0.1065125,
0.1644337,
0.1725534,
0.1829709,
0.195943,
0.2121354,
0.2329858,
0.2603271,
0.2950634,
0.3371227,
0.3892295,
0.4548902,
0.536061,
0.6337863,
0.7512629,
0.8923798,
1.0608979,
1.2644158,
1.5069993,
1.797705,
2.1495772,
2.5698599,
3.0717413,
3.6868877,
4.4172892,
5.2780886,
6.3337606,
7.5847382,
9.0946513
],
[
0.1613962,
0.2477809,
0.260826,
0.2757546,
0.2908146,
0.310843,
0.3306716,
0.3535151,
0.3787467,
0.4115472,
0.453762,
0.5058897,
0.5735055,
0.6577016,
0.7647132,
0.8977997,
1.0604286,
1.2636427,
1.5063026,
1.7991253,
2.1482345,
2.5689688,
3.0738714,
3.6778938,
4.4154644,
5.2745865,
6.3377463,
7.5894393,
9.0932177
],
[
0.2045493,
0.3142701,
0.3320035,
0.350109,
0.3679786,
0.3934351,
0.4176715,
0.4461131,
0.4772267,
0.5127894,
0.5499894,
0.5990103,
0.6532109,
0.7267174,
0.8210418,
0.941204,
1.0919258,
1.2774558,
1.5091339,
1.7981289,
2.1497242,
2.5683005,
3.0771488,
3.6794312,
4.4049431,
5.2906386,
6.3289201,
7.5920399,
9.1054719
],
[
0.3018209,
0.4603764,
0.4821715,
0.5126841,
0.5402794,
0.5730976,
0.6130934,
0.6517853,
0.6949201,
0.7480606,
0.8000499,
0.8598967,
0.9253355,
0.998717,
1.0781213,
1.1775317,
1.2934226,
1.442037,
1.6404521,
1.8876853,
2.2020961,
2.5898439,
3.0761356,
3.6790788,
4.4108472,
5.2887716,
6.3302776,
7.593739,
9.1050198
],
[
0.3438364,
0.5211184,
0.5504995,
0.5815874,
0.6156659,
0.653068,
0.6955512,
0.7445634,
0.7934671,
0.8488862,
0.9099595,
0.9754181,
1.0505944,
1.1323189,
1.2218094,
1.3176708,
1.4302237,
1.5747444,
1.7526135,
1.9809305,
2.2724826,
2.6497575,
3.1213283,
3.693148,
4.404777,
5.2842504,
6.3316112,
7.5975581,
9.0981898
],
[
0.3829226,
0.580452,
0.6118541,
0.6440585,
0.6808191,
0.726912,
0.7717804,
0.8219904,
0.8791623,
0.9430277,
1.0104806,
1.0839392,
1.1639152,
1.254799,
1.3541611,
1.4601655,
1.5802583,
1.711871,
1.8794983,
2.0960578,
2.3698103,
2.7233289,
3.1742673,
3.7368584,
4.4356949,
5.2843205,
6.3379004,
7.589013,
9.1079064
]
]
},
"timing_sense": "negative_unate"
}
}
}