blob: 5ab05bdd44ed61fcb69c5b10cab2a9e1712824a1 [file] [log] [blame]
{
"area": 113.3088,
"cell_footprint": "sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon",
"cell_leakage_power": 0.1505789,
"comp_attribute,input_voltage_range": [
1.28,
1.95
],
"comp_attribute,output_voltage_range": [
1.65,
5.5
],
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"is_level_shifter": "true",
"leakage_power": [
{
"value": 0.1372928,
"when": "!A&SLEEP_B"
},
{
"value": 0.1358418,
"when": "!A&!SLEEP_B"
},
{
"value": 0.1567031,
"when": "A&SLEEP_B"
},
{
"value": 0.1724778,
"when": "A&!SLEEP_B"
}
],
"level_shifter_type": "LH",
"pg_pin,LVPWR": {
"pg_type": "primary_power",
"voltage_name": "LVPWR"
},
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,A": {
"capacitance": 0.00265,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.002572,
"input_signal_level": "LVPWR",
"internal_power": {
"fall_power,power_inputs_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.0443131,
0.0439189,
0.0434918,
0.0439378,
0.0453344,
0.0467832,
0.0496812,
0.0545138,
0.0679828,
0.0749744,
0.0819661
]
},
"rise_power,power_inputs_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.0558714,
0.055179,
0.0544289,
0.0558043,
0.0601104,
0.0622444,
0.0665126,
0.071496,
0.0853854,
0.0925954,
0.0998053
]
}
},
"level_shifter_data_pin": "true",
"max_transition": 3.75,
"related_ground_pin": "VGND",
"related_power_pin": "LVPWR",
"rise_capacitance": 0.002728
},
"pin,SLEEP_B": {
"capacitance": 0.020234,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.02042,
"internal_power": {
"fall_power,power_inputs_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.1222492,
0.1209466,
0.1195354,
0.1321121,
0.171483,
0.2410968,
0.3803243,
0.5221814,
0.9175429,
1.1227761,
1.3280092
]
},
"rise_power,power_inputs_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
-0.0138395,
-0.0157887,
-0.0179005,
-0.0074186,
0.0253944,
0.0933431,
0.2292406,
0.3703798,
0.7637401,
0.9679346,
1.172129
]
}
},
"level_shifter_enable_pin": "true",
"max_transition": 3.75,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.020047
},
"pin,X": {
"direction": "output",
"function": "(A&SLEEP_B)",
"internal_power": [
{
"fall_power,power_outputs_4": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00147419,
0.00434649,
0.0128151,
0.037784,
0.111402,
0.328455
],
"values": [
[
0.3413394,
0.3264301,
0.2872394,
0.1885442,
-0.0633967,
-0.7908684,
-2.9023449
],
[
0.3410222,
0.3272063,
0.2869099,
0.1881047,
-0.0638653,
-0.7902861,
-2.9024128
],
[
0.3415321,
0.3274927,
0.2872377,
0.1880112,
-0.0638563,
-0.7911646,
-2.9026292
],
[
0.3404573,
0.3259239,
0.2868897,
0.1873093,
-0.0642227,
-0.7909803,
-2.902972
],
[
0.3403454,
0.3249999,
0.2862402,
0.1870119,
-0.0651202,
-0.7915822,
-2.9039535
],
[
0.3400822,
0.3259531,
0.2858693,
0.1874687,
-0.0651771,
-0.791682,
-2.9039243
],
[
0.3429423,
0.3273657,
0.2882521,
0.1891041,
-0.0629247,
-0.7898362,
-2.9017091
],
[
0.3438618,
0.3290566,
0.2897771,
0.1902082,
-0.0617314,
-0.7883621,
-2.9002813
],
[
0.3694037,
0.3544051,
0.3139631,
0.2096774,
-0.0571823,
-0.7835991,
-2.8950946
],
[
0.374792,
0.3602026,
0.3199009,
0.2149037,
-0.0518445,
-0.7807336,
-2.8928816
],
[
0.3781953,
0.363154,
0.3226546,
0.218618,
-0.0483452,
-0.7773506,
-2.8897954
]
]
},
"related_pin": "A",
"rise_power,power_outputs_4": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00147419,
0.00434649,
0.0128151,
0.037784,
0.111402,
0.328455
],
"values": [
[
0.1220281,
0.1395076,
0.1978842,
0.3422175,
0.6168229,
1.3218606,
3.4001855
],
[
0.1224788,
0.1395761,
0.1985087,
0.3420391,
0.6170815,
1.3221369,
3.4031729
],
[
0.1229529,
0.1405311,
0.1986875,
0.3434589,
0.6176875,
1.323483,
3.4036834
],
[
0.1211897,
0.1387029,
0.1969077,
0.3413469,
0.6156621,
1.3216497,
3.4019556
],
[
0.1171749,
0.1343267,
0.1919506,
0.3354423,
0.6109352,
1.3152809,
3.3974115
],
[
0.1158314,
0.1338299,
0.1917763,
0.3359875,
0.6089967,
1.3146671,
3.3945513
],
[
0.2055289,
0.2131384,
0.2380416,
0.33291,
0.607104,
1.3116854,
3.392669
],
[
0.2474226,
0.2549619,
0.2796727,
0.3581298,
0.6073854,
1.3110275,
3.3919601
],
[
0.2587021,
0.2665769,
0.2910458,
0.3689325,
0.6084968,
1.3112104,
3.3885071
],
[
0.2574602,
0.2648471,
0.2895916,
0.3678089,
0.6072389,
1.3076564,
3.3867738
],
[
0.2571917,
0.2644591,
0.2892618,
0.3675731,
0.6070449,
1.3076612,
3.3866284
]
]
}
},
{
"fall_power,power_outputs_4": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00147419,
0.00434649,
0.0128151,
0.037784,
0.111402,
0.328455
],
"values": [
[
0.5447472,
0.5286952,
0.4888184,
0.3874699,
0.1324976,
-0.5958304,
-2.7076495
],
[
0.5421944,
0.5272356,
0.4876268,
0.3851364,
0.1301734,
-0.5983722,
-2.7103932
],
[
0.5401717,
0.5258195,
0.4847832,
0.3837443,
0.1289876,
-0.5993475,
-2.7111515
],
[
0.5187735,
0.5037016,
0.4639918,
0.3623818,
0.1074177,
-0.6214442,
-2.7336254
],
[
0.4749181,
0.4614311,
0.4209531,
0.3195191,
0.0642051,
-0.6637072,
-2.7765443
],
[
0.4533143,
0.4387869,
0.3977646,
0.296332,
0.0412364,
-0.6874221,
-2.7994962
],
[
0.4993883,
0.4850122,
0.4447793,
0.3427688,
0.0880233,
-0.6404812,
-2.7525847
],
[
0.564989,
0.5508882,
0.5108211,
0.4093164,
0.1535327,
-0.5756474,
-2.6883587
],
[
0.7670161,
0.7517112,
0.7114865,
0.6092559,
0.3526938,
-0.3778981,
-2.4920226
],
[
0.8695816,
0.8564075,
0.8145415,
0.7134325,
0.4587503,
-0.2709109,
-2.384374
],
[
0.9896483,
0.9743674,
0.9328309,
0.8250905,
0.5677453,
-0.1611667,
-2.2741748
]
]
},
"related_pin": "SLEEP_B",
"rise_power,power_outputs_4": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00147419,
0.00434649,
0.0128151,
0.037784,
0.111402,
0.328455
],
"values": [
[
0.1601313,
0.1772547,
0.2362436,
0.3802381,
0.6552917,
1.3606403,
3.443348
],
[
0.1588291,
0.1765062,
0.2350601,
0.3793389,
0.6543867,
1.3591735,
3.4401296
],
[
0.1604782,
0.177793,
0.236188,
0.3806285,
0.6559608,
1.3613651,
3.4434128
],
[
0.1568001,
0.1737809,
0.232881,
0.3765475,
0.6519516,
1.3559009,
3.4359296
],
[
0.1559701,
0.1734016,
0.2284253,
0.3638417,
0.6338424,
1.3377698,
3.4179903
],
[
0.2184997,
0.226403,
0.2507795,
0.3618704,
0.6305107,
1.3355091,
3.4163561
],
[
0.3364549,
0.3435659,
0.3681506,
0.446649,
0.6996236,
1.404427,
3.4851464
],
[
0.4247462,
0.4317287,
0.4570348,
0.534137,
0.7696913,
1.4762386,
3.5559271
],
[
0.6436688,
0.6507535,
0.6747931,
0.7500974,
0.9886138,
1.6872298,
3.7653975
],
[
0.7584115,
0.7648907,
0.7886123,
0.8640496,
1.1007817,
1.7977981,
3.8746123
],
[
0.8743317,
0.8815076,
0.9041993,
0.9790008,
1.2136669,
1.9162563,
3.9894383
]
]
}
}
],
"max_capacitance": 0.328455,
"max_transition": 3.756427,
"power_down_function": "(!LVPWR+!VPWR+VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"cell_fall,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00147419,
0.00434649,
0.0128151,
0.037784,
0.111402,
0.328455
],
"values": [
[
0.6565026,
0.6728478,
0.7106286,
0.7913125,
0.9626356,
1.3428539,
2.3660531
],
[
0.6602837,
0.6765049,
0.7144517,
0.7949442,
0.9646102,
1.3469602,
2.3693889
],
[
0.6648492,
0.6811427,
0.7187547,
0.8001414,
0.9700547,
1.3517789,
2.3737434
],
[
0.6840275,
0.7004256,
0.7379664,
0.818992,
0.9893298,
1.3705517,
2.3928901
],
[
0.7392094,
0.7557721,
0.7932374,
0.8742584,
1.0446251,
1.4262951,
2.4482483
],
[
0.8008157,
0.8173373,
0.8548906,
0.9362148,
1.1061191,
1.4879898,
2.509821
],
[
0.8946424,
0.910931,
0.9487496,
1.029794,
1.1998583,
1.5811953,
2.6037064
],
[
0.973079,
0.9895442,
1.0268071,
1.1078466,
1.2776766,
1.6593086,
2.6814793
],
[
1.1655447,
1.181693,
1.2196409,
1.300655,
1.4704533,
1.8522185,
2.8749976
],
[
1.2558731,
1.2722944,
1.3097302,
1.3906077,
1.5610067,
1.9424149,
2.9646767
],
[
1.3423861,
1.358796,
1.3965369,
1.4778243,
1.6468903,
2.0291977,
3.0512284
]
]
},
"cell_rise,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00147419,
0.00434649,
0.0128151,
0.037784,
0.111402,
0.328455
],
"values": [
[
0.2084719,
0.2189613,
0.2453068,
0.3162581,
0.52226,
1.1185086,
2.8688807
],
[
0.2116598,
0.2221678,
0.2488164,
0.3191964,
0.5248617,
1.121499,
2.8733407
],
[
0.2158262,
0.2263544,
0.252552,
0.3236972,
0.5297833,
1.1258718,
2.8756724
],
[
0.229867,
0.2403765,
0.2666784,
0.3377066,
0.5437173,
1.1398986,
2.8901672
],
[
0.2551503,
0.2656552,
0.2922223,
0.3626011,
0.5682212,
1.1650715,
2.9163194
],
[
0.2720762,
0.2825584,
0.3087777,
0.3799101,
0.5858692,
1.1819902,
2.9327696
],
[
0.2791172,
0.2895105,
0.3161315,
0.3864756,
0.5928973,
1.1884824,
2.9389544
],
[
0.2732114,
0.2836633,
0.3100987,
0.3808079,
0.5870157,
1.1827147,
2.9332585
],
[
0.2263598,
0.2367556,
0.2633491,
0.333866,
0.5397274,
1.1355555,
2.8876845
],
[
0.1927186,
0.2031773,
0.229864,
0.3001879,
0.5058029,
1.101894,
2.8517937
],
[
0.1555543,
0.1658166,
0.1924901,
0.2628196,
0.4680565,
1.0642605,
2.816599
]
]
},
"fall_transition,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00147419,
0.00434649,
0.0128151,
0.037784,
0.111402,
0.328455
],
"values": [
[
0.0895182,
0.1031731,
0.1324728,
0.1938409,
0.3339099,
0.7243811,
1.973296
],
[
0.0895225,
0.1027533,
0.1326641,
0.194111,
0.3326927,
0.725406,
1.976777
],
[
0.0900206,
0.1034879,
0.133236,
0.1929007,
0.3349401,
0.7244614,
1.9765816
],
[
0.0894565,
0.1037994,
0.1331495,
0.193282,
0.3339212,
0.7249714,
1.9755779
],
[
0.0894331,
0.1030645,
0.1320015,
0.1955933,
0.3333741,
0.724335,
1.9738891
],
[
0.0907488,
0.1027682,
0.1322871,
0.1924903,
0.3340719,
0.7243002,
1.9761726
],
[
0.0897753,
0.1049691,
0.1342965,
0.1922315,
0.3338787,
0.7243694,
1.9739725
],
[
0.0911643,
0.1027319,
0.1348939,
0.1925316,
0.3313561,
0.7243627,
1.9748772
],
[
0.0895868,
0.1046208,
0.1328874,
0.1931257,
0.3308964,
0.7239287,
1.9725408
],
[
0.089973,
0.1034673,
0.1332433,
0.1949104,
0.3316638,
0.7242877,
1.9769035
],
[
0.0893718,
0.1028355,
0.1325805,
0.1927228,
0.3313805,
0.7278702,
1.9756512
]
]
},
"related_pin": "A",
"rise_transition,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00147419,
0.00434649,
0.0128151,
0.037784,
0.111402,
0.328455
],
"values": [
[
0.0329747,
0.0440182,
0.0744301,
0.1662657,
0.4487339,
1.2767462,
3.7302961
],
[
0.0332363,
0.0440275,
0.0742476,
0.1663672,
0.4489525,
1.2780808,
3.734054
],
[
0.0329506,
0.0440088,
0.0745291,
0.1662932,
0.4488289,
1.2751429,
3.7564272
],
[
0.0329607,
0.0440045,
0.0744602,
0.1662526,
0.4487626,
1.2754989,
3.7325241
],
[
0.0332193,
0.0439514,
0.0738717,
0.1665857,
0.4486141,
1.2793293,
3.7269285
],
[
0.0329909,
0.0440206,
0.0744773,
0.1664157,
0.4491777,
1.2778264,
3.727926
],
[
0.0331789,
0.0438229,
0.0737692,
0.1663008,
0.4487694,
1.2762191,
3.7307961
],
[
0.0329112,
0.0439672,
0.0742778,
0.1662719,
0.4489969,
1.2771963,
3.7278118
],
[
0.0332322,
0.0439944,
0.0739297,
0.1665722,
0.4485679,
1.2786671,
3.7310044
],
[
0.0333519,
0.0443727,
0.0742759,
0.16644,
0.4481381,
1.2755171,
3.7278953
],
[
0.0331238,
0.043985,
0.0743972,
0.1663444,
0.4481943,
1.2786644,
3.727203
]
]
},
"timing_sense": "positive_unate",
"timing_type": "combinational"
},
{
"cell_fall,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00147419,
0.00434649,
0.0128151,
0.037784,
0.111402,
0.328455
],
"values": [
[
1.0503413,
1.0671746,
1.1054865,
1.1882287,
1.3608839,
1.7463788,
2.772651
],
[
1.0500648,
1.0668818,
1.1061975,
1.1878112,
1.360452,
1.7460849,
2.772224
],
[
1.0480637,
1.0650706,
1.103489,
1.1858532,
1.3586701,
1.7444678,
2.7702916
],
[
1.0211806,
1.0378374,
1.0764255,
1.1588422,
1.3315383,
1.7171259,
2.7431157
],
[
0.9566766,
0.9736009,
1.0121403,
1.0948993,
1.2674709,
1.6535114,
2.6792437
],
[
0.9455137,
0.9622998,
1.0008483,
1.0835424,
1.2563203,
1.6416007,
2.6676426
],
[
1.0992494,
1.1162808,
1.1543992,
1.2371023,
1.4095756,
1.7954151,
2.8210343
],
[
1.2876068,
1.3044618,
1.3431244,
1.4257241,
1.5974365,
1.9838757,
3.009492
],
[
1.8055398,
1.8228411,
1.8622733,
1.9471023,
2.1226214,
2.5125126,
3.5402621
],
[
2.0816555,
2.0990225,
2.1388173,
2.223326,
2.3999123,
2.7906484,
3.8214845
],
[
2.3601405,
2.3770863,
2.4169169,
2.5013553,
2.6775444,
3.0693132,
4.1022008
]
]
},
"cell_rise,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00147419,
0.00434649,
0.0128151,
0.037784,
0.111402,
0.328455
],
"values": [
[
0.1559198,
0.1660778,
0.192921,
0.2634543,
0.4694199,
1.0659051,
2.8168481
],
[
0.1574093,
0.1678797,
0.1943118,
0.2650204,
0.4707046,
1.0673346,
2.8176104
],
[
0.1586095,
0.1690423,
0.1956479,
0.2662545,
0.4721712,
1.0686932,
2.8207019
],
[
0.1544429,
0.1647894,
0.191439,
0.2620839,
0.4676995,
1.0641633,
2.814966
],
[
0.1118709,
0.1223635,
0.1491729,
0.220194,
0.4251834,
1.0209352,
2.7721705
],
[
0.0373893,
0.0479861,
0.0742522,
0.1447482,
0.3510098,
0.9473279,
2.7005038
],
[
-0.1220312,
-0.1111215,
-0.0844429,
-0.0139601,
0.192175,
0.7932428,
2.5450888
],
[
-0.2891977,
-0.2783217,
-0.2515323,
-0.1810589,
0.0248685,
0.6298276,
2.3811977
],
[
-0.7723935,
-0.7607983,
-0.7332299,
-0.6628273,
-0.4576245,
0.152774,
1.9129569
],
[
-1.0282064,
-1.0165638,
-0.9886441,
-0.917401,
-0.7134096,
-0.1032171,
1.6637086
],
[
-1.2870185,
-1.2747737,
-1.2468777,
-1.174847,
-0.9715565,
-0.3615054,
1.4119129
]
]
},
"fall_transition,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00147419,
0.00434649,
0.0128151,
0.037784,
0.111402,
0.328455
],
"values": [
[
0.096274,
0.1103809,
0.1426847,
0.202244,
0.343711,
0.7328429,
1.9874031
],
[
0.0970571,
0.1107678,
0.140891,
0.2030838,
0.3428514,
0.7323399,
1.9833191
],
[
0.0954698,
0.1104494,
0.1405909,
0.2021131,
0.3424529,
0.7326139,
1.9842259
],
[
0.0953966,
0.1100161,
0.1417633,
0.203383,
0.3425428,
0.7325742,
1.9820201
],
[
0.0985647,
0.1127655,
0.1403541,
0.2022,
0.3424283,
0.7312825,
1.9865594
],
[
0.0964291,
0.110622,
0.1417914,
0.2019738,
0.3420256,
0.7326502,
1.9839297
],
[
0.097448,
0.1106333,
0.1429766,
0.2033824,
0.3430021,
0.7327256,
1.9784829
],
[
0.0980691,
0.1118397,
0.1408513,
0.2032091,
0.3419627,
0.7330619,
1.9852093
],
[
0.101768,
0.1172424,
0.1500172,
0.2106047,
0.3507285,
0.7403855,
1.9878408
],
[
0.1031814,
0.1165911,
0.1488958,
0.2112148,
0.3525083,
0.744,
1.9908257
],
[
0.1043654,
0.1160491,
0.1483951,
0.2126668,
0.3500099,
0.7470439,
1.9913793
]
]
},
"related_pin": "SLEEP_B",
"rise_transition,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00147419,
0.00434649,
0.0128151,
0.037784,
0.111402,
0.328455
],
"values": [
[
0.0330453,
0.0443275,
0.074217,
0.1666098,
0.4492939,
1.2776759,
3.7277825
],
[
0.0330624,
0.0441105,
0.0742305,
0.1663659,
0.4492156,
1.2781767,
3.7292462
],
[
0.03334,
0.0439506,
0.0741244,
0.166225,
0.4488987,
1.2783428,
3.7406696
],
[
0.0331483,
0.0440738,
0.0743667,
0.1663635,
0.4486673,
1.2792543,
3.7401988
],
[
0.0337051,
0.044491,
0.0749683,
0.1669001,
0.447658,
1.2773878,
3.7296259
],
[
0.0337832,
0.0446003,
0.0750632,
0.166678,
0.448601,
1.2777075,
3.7407135
],
[
0.034722,
0.0455418,
0.0756276,
0.1673699,
0.4519448,
1.2827535,
3.7375387
],
[
0.0356524,
0.0464177,
0.0767796,
0.167821,
0.4535015,
1.2879202,
3.737922
],
[
0.0393155,
0.0496949,
0.0802257,
0.1707925,
0.4562259,
1.2996657,
3.7350905
],
[
0.04181,
0.0519855,
0.0824298,
0.1718304,
0.4558347,
1.3038455,
3.74594
],
[
0.0439228,
0.0543943,
0.0853457,
0.1735403,
0.4570272,
1.3080255,
3.7495393
]
]
},
"timing_sense": "positive_unate",
"timing_type": "combinational"
}
]
}
}