blob: 2e9de90298006edaa8c4aa9a4fcc20c65db57c95 [file] [log] [blame]
{
"area": 85.9584,
"cell_footprint": "sky130_fd_sc_hvl__lsbuflv2hv",
"cell_leakage_power": 0.04081551,
"comp_attribute,input_voltage_range": [
1.28,
1.95
],
"comp_attribute,output_voltage_range": [
1.65,
5.5
],
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"is_level_shifter": "true",
"leakage_power": [
{
"value": 0.0429524,
"when": "A"
},
{
"value": 0.0386786,
"when": "!A"
}
],
"level_shifter_type": "LH",
"pg_pin,LVPWR": {
"pg_type": "primary_power",
"voltage_name": "LVPWR"
},
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,A": {
"capacitance": 0.002096,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.002067,
"input_signal_level": "LVPWR",
"level_shifter_data_pin": "true",
"max_transition": 2.5,
"related_ground_pin": "VGND",
"related_power_pin": "LVPWR",
"rise_capacitance": 0.002125
},
"pin,X": {
"direction": "output",
"function": "A",
"internal_power": {
"fall_power,power_outputs_2": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5,
2,
2.5
],
"index_2": [
0.0005,
0.000999433,
0.00199773,
0.0039932,
0.00798188,
0.0159547,
0.0318914,
0.0637466,
0.127421
],
"values": [
[
0.0786456,
0.0787136,
0.0788745,
0.0788271,
0.077914,
0.0737974,
0.0595082,
0.0243227,
-0.0599858
],
[
0.0785686,
0.0787051,
0.0786953,
0.0788326,
0.0778813,
0.073638,
0.059507,
0.024323,
-0.0601257
],
[
0.0785414,
0.0786377,
0.0787135,
0.0787658,
0.0777978,
0.0735579,
0.0594329,
0.0242802,
-0.0600482
],
[
0.0784653,
0.0786231,
0.0786127,
0.0787303,
0.0778115,
0.0735468,
0.0592367,
0.0244808,
-0.0600436
],
[
0.0784782,
0.0785702,
0.0786483,
0.0787039,
0.0777435,
0.0735548,
0.0592145,
0.0245133,
-0.0601136
],
[
0.0785142,
0.0786108,
0.0786923,
0.0787907,
0.077834,
0.073596,
0.0592676,
0.0244101,
-0.0600234
],
[
0.0785106,
0.0785885,
0.0786712,
0.078679,
0.0773074,
0.0730844,
0.0593287,
0.0241116,
-0.0601368
],
[
0.0784348,
0.0785308,
0.0786091,
0.0786592,
0.0777051,
0.0735188,
0.059194,
0.0244165,
-0.0601628
],
[
0.0783212,
0.0784675,
0.0785672,
0.0785973,
0.0776627,
0.0733981,
0.0590831,
0.0241924,
-0.0601945
],
[
0.0782769,
0.0783992,
0.0784148,
0.0785461,
0.0775882,
0.0733471,
0.0590142,
0.0241548,
-0.0602853
],
[
0.0781939,
0.0783658,
0.078322,
0.0784974,
0.077554,
0.0732963,
0.0589783,
0.0240908,
-0.0603336
],
[
0.0781738,
0.0783066,
0.0782791,
0.078436,
0.0774866,
0.0732365,
0.0589116,
0.024048,
-0.0603865
],
[
0.0781173,
0.0782626,
0.0783635,
0.0783865,
0.0774551,
0.0731742,
0.0588609,
0.0239546,
-0.0604253
],
[
0.0779883,
0.0780843,
0.0781611,
0.0782192,
0.0772566,
0.0730626,
0.0587282,
0.0239612,
-0.0606335
],
[
0.078019,
0.0780725,
0.0781532,
0.0782206,
0.0772548,
0.0730704,
0.0587502,
0.0239815,
-0.0606397
]
]
},
"related_pin": "A",
"rise_power,power_outputs_2": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5,
2,
2.5
],
"index_2": [
0.0005,
0.000999433,
0.00199773,
0.0039932,
0.00798188,
0.0159547,
0.0318914,
0.0637466,
0.127421
],
"values": [
[
0.1063748,
0.1072548,
0.1089563,
0.1122489,
0.1185653,
0.1306669,
0.1531104,
0.1966165,
0.2824448
],
[
0.1064683,
0.1073211,
0.1089731,
0.1122719,
0.1186316,
0.1306513,
0.1531401,
0.1963912,
0.2829064
],
[
0.1063583,
0.1072336,
0.1088862,
0.1121224,
0.1184906,
0.1304358,
0.1530318,
0.1963211,
0.2824473
],
[
0.1064404,
0.1073142,
0.1089957,
0.1123086,
0.1185456,
0.1304441,
0.1527622,
0.1967921,
0.2827966
],
[
0.1063817,
0.1072555,
0.1089336,
0.1122478,
0.118485,
0.130385,
0.1526983,
0.1967334,
0.2827384
],
[
0.1062263,
0.107092,
0.1087451,
0.1119632,
0.1182015,
0.1303469,
0.1527365,
0.1963953,
0.2824202
],
[
0.1060534,
0.1069239,
0.1085851,
0.1118304,
0.1182087,
0.1302027,
0.1525728,
0.1959675,
0.2824149
],
[
0.1058788,
0.1067091,
0.108394,
0.1117072,
0.1179324,
0.1300383,
0.1524441,
0.1960539,
0.2817042
],
[
0.1053512,
0.1062009,
0.1078561,
0.1112067,
0.1174462,
0.1295582,
0.1520322,
0.1952995,
0.2816834
],
[
0.1042854,
0.105158,
0.1067727,
0.1101181,
0.1165019,
0.1283354,
0.1508968,
0.1944949,
0.2801293
],
[
0.1034082,
0.1042986,
0.1059483,
0.109132,
0.1154578,
0.1274466,
0.1500325,
0.1933411,
0.27983
],
[
0.102775,
0.103608,
0.1052938,
0.1086071,
0.1148464,
0.1269579,
0.1493111,
0.1926892,
0.2790825
],
[
0.1017989,
0.1026074,
0.1042086,
0.1075275,
0.1138916,
0.1259277,
0.1482033,
0.1921173,
0.2780038
],
[
0.1012276,
0.10209,
0.103811,
0.107076,
0.1133171,
0.125379,
0.1479243,
0.1915245,
0.2770888
],
[
0.1009465,
0.1017541,
0.1034126,
0.10675,
0.113109,
0.1251341,
0.1473528,
0.1912711,
0.2763978
]
]
}
},
"max_capacitance": 0.094996,
"max_transition": 4.989861,
"power_down_function": "(!LVPWR+!VPWR+VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": {
"cell_fall,del_1_15_9": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5,
2,
2.5
],
"index_2": [
0.0005,
0.000999433,
0.00199773,
0.0039932,
0.00798188,
0.0159547,
0.0318914,
0.0637466,
0.127421
],
"values": [
[
2.0160649,
2.0312223,
2.0584805,
2.107156,
2.1942945,
2.3573938,
2.6744997,
3.3062495,
4.5618016
],
[
2.019992,
2.0348596,
2.0621327,
2.1108431,
2.1982405,
2.3614393,
2.6785016,
3.3085219,
4.5703707
],
[
2.0245219,
2.0394215,
2.0666822,
2.1153479,
2.2027711,
2.3658304,
2.6828257,
3.3126841,
4.5706336
],
[
2.0313842,
2.046177,
2.073439,
2.1221889,
2.2096367,
2.3729003,
2.6900451,
3.3205134,
4.5767528
],
[
2.0416615,
2.0565512,
2.0837518,
2.1324937,
2.2198485,
2.3830129,
2.7001792,
3.3307301,
4.5920521
],
[
2.0586908,
2.0736015,
2.1008864,
2.149577,
2.2369688,
2.4001463,
2.7172082,
3.3472021,
4.6066564
],
[
2.0820994,
2.0970374,
2.1243078,
2.1730053,
2.2596789,
2.4228342,
2.7413913,
3.3733087,
4.6346171
],
[
2.1202948,
2.1351847,
2.1624533,
2.2111326,
2.2984914,
2.4616602,
2.7788325,
3.40948,
4.6707687
],
[
2.1889082,
2.2038804,
2.2310807,
2.2798414,
2.3672604,
2.5304874,
2.8475968,
3.4776528,
4.7345168
],
[
2.2830251,
2.2979032,
2.3251836,
2.37389,
2.4612812,
2.6244551,
2.941525,
3.5714902,
4.8310285
],
[
2.4246949,
2.4395517,
2.4667928,
2.5155205,
2.6029288,
2.7661449,
3.0831995,
3.7131753,
4.9726814
],
[
2.6331313,
2.6479954,
2.6752538,
2.7239733,
2.8113762,
2.974581,
3.291629,
3.921556,
5.1813256
],
[
2.9599192,
2.9748912,
3.002085,
3.0508565,
3.1382907,
3.3015417,
3.6187324,
4.2489745,
5.5054348
],
[
3.2817256,
3.2966125,
3.3238821,
3.3725582,
3.4599124,
3.6230738,
3.9402059,
4.5706359,
5.8319096
],
[
3.6119063,
3.6261789,
3.65346,
3.7021426,
3.7894905,
3.9526853,
4.2700066,
4.900913,
6.1622663
]
]
},
"cell_rise,del_1_15_9": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5,
2,
2.5
],
"index_2": [
0.0005,
0.000999433,
0.00199773,
0.0039932,
0.00798188,
0.0159547,
0.0318914,
0.0637466,
0.127421
],
"values": [
[
8.139728,
8.1618827,
8.2043128,
8.2752942,
8.3998009,
8.633978,
9.0953396,
10.015281,
11.8323111
],
[
8.1425959,
8.1654159,
8.2060536,
8.2758023,
8.3999785,
8.6353174,
9.0981498,
10.0099182,
11.8314887
],
[
8.1442924,
8.1680378,
8.2081186,
8.2768832,
8.4028446,
8.6378895,
9.1004244,
10.0170379,
11.8335075
],
[
8.1529166,
8.1750811,
8.2165519,
8.2865537,
8.4099572,
8.6447596,
9.1057641,
10.0249702,
11.8438024
],
[
8.1620009,
8.1845224,
8.2256157,
8.2956504,
8.4190459,
8.6538492,
9.1149017,
10.0340136,
11.8528627
],
[
8.1764515,
8.199633,
8.2387679,
8.3071239,
8.432516,
8.6684109,
9.1290389,
10.0492104,
11.8666694
],
[
8.1966665,
8.2191773,
8.2590974,
8.3286675,
8.4536511,
8.6879597,
9.1495709,
10.0639994,
11.8854198
],
[
8.2250304,
8.2469462,
8.2877376,
8.3589562,
8.4821226,
8.7167793,
9.1788115,
10.0975877,
11.9136354
],
[
8.2584748,
8.2809395,
8.3219716,
8.3922176,
8.5159026,
8.7507672,
9.2134407,
10.1302886,
11.9464003
],
[
8.3033997,
8.3242826,
8.3657614,
8.4373557,
8.5624592,
8.7964152,
9.2572607,
10.1775832,
11.993194
],
[
8.4027452,
8.425018,
8.4651397,
8.5327425,
8.6590086,
8.8939469,
9.35675,
10.2726228,
12.0901973
],
[
8.5557676,
8.5776692,
8.6184215,
8.6896446,
8.8124947,
9.0472678,
9.5098338,
10.4272484,
12.2438468
],
[
8.7716892,
8.7955634,
8.8353724,
8.9049745,
9.030857,
9.2658929,
9.7284074,
10.6415383,
12.4648514
],
[
8.9922754,
9.0153609,
9.0560786,
9.1256656,
9.2489021,
9.483645,
9.9457456,
10.865076,
12.6817081
],
[
9.2008809,
9.2234433,
9.2633927,
9.3347783,
9.4593933,
9.6930961,
10.1561284,
11.0731531,
12.8925377
]
]
},
"fall_transition,del_1_15_9": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5,
2,
2.5
],
"index_2": [
0.0005,
0.000999433,
0.00199773,
0.0039932,
0.00798188,
0.0159547,
0.0318914,
0.0637466,
0.127421
],
"values": [
[
0.1049324,
0.1177319,
0.1424872,
0.1933525,
0.2934128,
0.5004915,
0.9235535,
1.7733075,
3.4134995
],
[
0.1047698,
0.1178157,
0.1427862,
0.1929349,
0.2938822,
0.5009742,
0.9226627,
1.7570496,
3.4209273
],
[
0.1049246,
0.1177249,
0.1424867,
0.1933488,
0.2934159,
0.5005421,
0.923466,
1.7530771,
3.4137886
],
[
0.1046771,
0.1177872,
0.1427877,
0.192964,
0.2941049,
0.5008259,
0.9214473,
1.7743775,
3.4164547
],
[
0.1049272,
0.1177343,
0.1424774,
0.1933481,
0.2937288,
0.5004103,
0.9236009,
1.7674642,
3.4160788
],
[
0.1047983,
0.1178938,
0.1424902,
0.1929694,
0.2938503,
0.5009702,
0.92276,
1.7744256,
3.4141281
],
[
0.1048123,
0.1176084,
0.1427054,
0.1934153,
0.2932463,
0.4984816,
0.9191711,
1.7581996,
3.4185033
],
[
0.1049307,
0.1177448,
0.1424806,
0.1933554,
0.293711,
0.5004084,
0.9236353,
1.7670034,
3.4158785
],
[
0.1050707,
0.1176433,
0.1431062,
0.1929146,
0.2940258,
0.5009194,
0.9222071,
1.7748576,
3.4127055
],
[
0.1047758,
0.1178945,
0.1427491,
0.1929688,
0.2938442,
0.5009672,
0.9227521,
1.7741375,
3.4141334
],
[
0.1047229,
0.1176719,
0.1429329,
0.1928929,
0.2939672,
0.5009546,
0.9225587,
1.7742776,
3.4142691
],
[
0.104759,
0.1177732,
0.1428739,
0.1928808,
0.2939297,
0.5009725,
0.9226826,
1.7739026,
3.4140319
],
[
0.105071,
0.117642,
0.1431315,
0.1929216,
0.2940775,
0.5008287,
0.921587,
1.7748394,
3.4125942
],
[
0.1049287,
0.1177548,
0.1424541,
0.1933387,
0.2937631,
0.5004607,
0.9236064,
1.7678842,
3.4155707
],
[
0.1049153,
0.1178489,
0.1424346,
0.1933557,
0.2937483,
0.5004305,
0.923688,
1.7651962,
3.4164742
]
]
},
"related_pin": "A",
"rise_transition,del_1_15_9": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1,
1.5,
2,
2.5
],
"index_2": [
0.0005,
0.000999433,
0.00199773,
0.0039932,
0.00798188,
0.0159547,
0.0318914,
0.0637466,
0.127421
],
"values": [
[
0.1778549,
0.1970223,
0.2289443,
0.2965478,
0.4340432,
0.7298482,
1.3423845,
2.5561571,
4.9763189
],
[
0.178243,
0.1973331,
0.2295185,
0.2975019,
0.4348105,
0.7294495,
1.343727,
2.5548985,
4.9774393
],
[
0.1783139,
0.1971507,
0.2304188,
0.2963184,
0.4346007,
0.7296583,
1.3387721,
2.5554432,
4.9754898
],
[
0.1772595,
0.1979356,
0.2297967,
0.2957379,
0.4343826,
0.7282352,
1.3493068,
2.5548286,
4.9852393
],
[
0.1772573,
0.1976436,
0.2298004,
0.2957606,
0.4344114,
0.7282831,
1.3493584,
2.5547848,
4.9851678
],
[
0.1786535,
0.1975837,
0.2302894,
0.2971087,
0.4340738,
0.7305925,
1.3423868,
2.5566413,
4.9894346
],
[
0.1780141,
0.1973915,
0.2311312,
0.2976683,
0.4342655,
0.7309603,
1.341492,
2.5525537,
4.983153
],
[
0.1784762,
0.1966484,
0.2295638,
0.2969198,
0.4346444,
0.7288775,
1.3424358,
2.5532803,
4.981163
],
[
0.1781546,
0.1965826,
0.2312883,
0.2963361,
0.4349017,
0.7306897,
1.3384912,
2.5551554,
4.9744804
],
[
0.1783419,
0.198483,
0.230198,
0.2956977,
0.4338464,
0.7258197,
1.336057,
2.5538653,
4.9824234
],
[
0.1790428,
0.196753,
0.2294508,
0.2971191,
0.4350522,
0.7292965,
1.3374546,
2.5553879,
4.9786566
],
[
0.1784655,
0.1965205,
0.2295514,
0.2968975,
0.4349166,
0.7304123,
1.3395302,
2.5547471,
4.9785256
],
[
0.1800633,
0.1947305,
0.2283303,
0.2963985,
0.4344805,
0.7296383,
1.3301426,
2.5491882,
4.9821178
],
[
0.1794639,
0.1976219,
0.2297698,
0.2966926,
0.4346918,
0.7285946,
1.3370968,
2.5570479,
4.9898614
],
[
0.1795164,
0.1975338,
0.2307109,
0.296594,
0.4338478,
0.7272825,
1.3288033,
2.5527647,
4.9823159
]
]
},
"timing_sense": "positive_unate",
"timing_type": "combinational"
}
}
}