blob: 9bee24fe07ba1f385426e759abf54ca83ea521a2 [file] [log] [blame]
{
"area": 54.7008,
"cell_footprint": "sky130_fd_sc_hvl__inv",
"cell_leakage_power": 0.0296281,
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"leakage_power": [
{
"value": 0.0296249,
"when": "A"
},
{
"value": 0.0296313,
"when": "!A"
}
],
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,A": {
"capacitance": 0.044538,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.044628,
"max_transition": 5.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.044448
},
"pin,Y": {
"direction": "output",
"function": "(!A)",
"internal_power": {
"fall_power,pwr_template11x29": {
"index_1": [
0.0013333,
0.0173333,
0.0346667,
0.096,
0.288,
0.576,
1.152,
1.728,
3.333333,
4.166667,
5
],
"index_2": [
0,
0.00879,
0.01055,
0.01266,
0.01519,
0.01823,
0.02187,
0.02625,
0.0315,
0.0378,
0.04536,
0.05443,
0.06532,
0.07838,
0.09406,
0.11287,
0.13544,
0.16253,
0.19503,
0.23403,
0.28084,
0.33701,
0.40441,
0.48529,
0.58235,
0.69882,
0.83858,
1.0063,
1.20756
],
"values": [
[
-0.0182023,
-0.0257168,
-0.0272482,
-0.0290881,
-0.0312839,
-0.0340138,
-0.0372068,
-0.0409982,
-0.0455524,
-0.0509998,
-0.0576289,
-0.0654871,
-0.0749524,
-0.0863213,
-0.0999973,
-0.1164232,
-0.1360895,
-0.1596947,
-0.1879972,
-0.2219941,
-0.262755,
-0.3116892,
-0.3704109,
-0.440876,
-0.5254323,
-0.6268954,
-0.7486743,
-0.8947887,
-1.0701206
],
[
-0.0186504,
-0.0260337,
-0.0274719,
-0.0292996,
-0.0315087,
-0.0341475,
-0.0372751,
-0.0411133,
-0.0455494,
-0.0511055,
-0.0576672,
-0.0655449,
-0.0750669,
-0.086405,
-0.100085,
-0.1164462,
-0.1361174,
-0.1597397,
-0.1880116,
-0.2220154,
-0.2627966,
-0.3117564,
-0.3703786,
-0.4408811,
-0.5253876,
-0.6268014,
-0.748728,
-0.8948655,
-1.070258
],
[
-0.019081,
-0.0262206,
-0.0276464,
-0.0294376,
-0.0316545,
-0.0342557,
-0.0373991,
-0.0411621,
-0.045695,
-0.0511481,
-0.057708,
-0.06563,
-0.0750906,
-0.0864311,
-0.1000995,
-0.1165278,
-0.1361725,
-0.1597116,
-0.1880272,
-0.2219718,
-0.2627749,
-0.3117036,
-0.3704231,
-0.4409367,
-0.5254573,
-0.6269653,
-0.7487772,
-0.8948259,
-1.0701384
],
[
-0.0201663,
-0.0268466,
-0.028284,
-0.0300816,
-0.0321732,
-0.0346975,
-0.0378739,
-0.0415712,
-0.0460242,
-0.0514489,
-0.0579911,
-0.0658049,
-0.0753107,
-0.0865325,
-0.100362,
-0.1165191,
-0.1361362,
-0.159729,
-0.1881183,
-0.2220753,
-0.2629129,
-0.3117616,
-0.3704431,
-0.4409038,
-0.5255062,
-0.6270193,
-0.748584,
-0.8947258,
-1.0700983
],
[
-0.0218104,
-0.0283154,
-0.0296862,
-0.0314101,
-0.0333536,
-0.0358272,
-0.0388041,
-0.042442,
-0.0468829,
-0.0522354,
-0.0586817,
-0.0664055,
-0.0757227,
-0.0871138,
-0.1005822,
-0.1168144,
-0.1364367,
-0.1599128,
-0.1881468,
-0.2221168,
-0.26286,
-0.3116637,
-0.3704388,
-0.4408941,
-0.5254381,
-0.6268646,
-0.7486775,
-0.8947791,
-1.0701653
],
[
-0.0229393,
-0.0294517,
-0.0308415,
-0.0324858,
-0.0344979,
-0.0368749,
-0.0399407,
-0.0436073,
-0.047826,
-0.0530944,
-0.0595613,
-0.0672047,
-0.0764137,
-0.0876385,
-0.101024,
-0.1173308,
-0.136888,
-0.1603005,
-0.1883958,
-0.2222427,
-0.2630812,
-0.3120032,
-0.3706715,
-0.4408935,
-0.5252726,
-0.6269562,
-0.7486177,
-0.8950818,
-1.0702117
],
[
-0.0239435,
-0.0306826,
-0.0320351,
-0.033796,
-0.0358298,
-0.0381682,
-0.0410521,
-0.0447621,
-0.0491266,
-0.0543231,
-0.0605656,
-0.0680974,
-0.0773853,
-0.0884615,
-0.1018905,
-0.1179865,
-0.1374812,
-0.1608198,
-0.188922,
-0.2228332,
-0.2635031,
-0.3122568,
-0.3708653,
-0.4414535,
-0.5256774,
-0.626734,
-0.7487833,
-0.8946506,
-1.0701127
],
[
-0.024487,
-0.0311843,
-0.0326199,
-0.0343264,
-0.036408,
-0.0388989,
-0.0417966,
-0.0454325,
-0.0497087,
-0.0549799,
-0.0612642,
-0.0689138,
-0.078123,
-0.0891041,
-0.1025153,
-0.1185936,
-0.1379975,
-0.1613767,
-0.1893671,
-0.2231417,
-0.263737,
-0.312633,
-0.3713076,
-0.4412861,
-0.525998,
-0.6273003,
-0.7491025,
-0.894953,
-1.0703998
],
[
-0.0223987,
-0.0303206,
-0.03187,
-0.0337923,
-0.0359449,
-0.0388102,
-0.0420639,
-0.0460092,
-0.0507028,
-0.0560982,
-0.0624107,
-0.0700863,
-0.0792969,
-0.0903884,
-0.1037781,
-0.1197889,
-0.1391991,
-0.1624563,
-0.1904666,
-0.2241166,
-0.2646042,
-0.3132327,
-0.3718263,
-0.4420873,
-0.526343,
-0.6277415,
-0.74932,
-0.8953888,
-1.0705567
],
[
-0.0234877,
-0.0303779,
-0.0318102,
-0.0335362,
-0.0356156,
-0.0381495,
-0.0414409,
-0.0453525,
-0.0500843,
-0.0557112,
-0.0625042,
-0.0704477,
-0.0796474,
-0.0907841,
-0.1041549,
-0.1202567,
-0.1395769,
-0.1629033,
-0.1908174,
-0.2245126,
-0.2649736,
-0.3135481,
-0.3720757,
-0.4423272,
-0.526711,
-0.6280248,
-0.7495785,
-0.8955137,
-1.0706679
],
[
-0.0236498,
-0.0305876,
-0.0320061,
-0.0337239,
-0.0358269,
-0.0383268,
-0.041376,
-0.0450162,
-0.0495585,
-0.0552473,
-0.0619802,
-0.0700935,
-0.0798365,
-0.0911031,
-0.1044342,
-0.1205831,
-0.1399004,
-0.1631976,
-0.1912113,
-0.2248174,
-0.265338,
-0.3139127,
-0.3723228,
-0.4425628,
-0.5268381,
-0.6281649,
-0.7497623,
-0.8957064,
-1.0708793
]
]
},
"related_pin": "A",
"rise_power,pwr_template11x29": {
"index_1": [
0.0013333,
0.0173333,
0.0346667,
0.096,
0.288,
0.576,
1.152,
1.728,
3.333333,
4.166667,
5
],
"index_2": [
0,
0.00879,
0.01055,
0.01266,
0.01519,
0.01823,
0.02187,
0.02625,
0.0315,
0.0378,
0.04536,
0.05443,
0.06532,
0.07838,
0.09406,
0.11287,
0.13544,
0.16253,
0.19503,
0.23403,
0.28084,
0.33701,
0.40441,
0.48529,
0.58235,
0.69882,
0.83858,
1.0063,
1.20756
],
"values": [
[
0.0892334,
0.098315,
0.0998383,
0.1017334,
0.1039544,
0.1065778,
0.1097675,
0.113604,
0.1181042,
0.1233982,
0.1298599,
0.1380157,
0.1471097,
0.1587003,
0.1722085,
0.1881134,
0.207731,
0.2313734,
0.2594077,
0.2933463,
0.3331054,
0.3816656,
0.4399307,
0.5097333,
0.5936316,
0.6943009,
0.8147099,
0.9598973,
1.1334357
],
[
0.0880937,
0.0974591,
0.0990811,
0.1009438,
0.1032984,
0.1060203,
0.1092335,
0.1131021,
0.1176785,
0.1231958,
0.1297805,
0.1375499,
0.1470774,
0.1583772,
0.1718243,
0.1881413,
0.2076236,
0.2309248,
0.2591097,
0.2932131,
0.3333752,
0.3816109,
0.4397851,
0.5094974,
0.5931709,
0.6944129,
0.8143202,
0.9600551,
1.1335261
],
[
0.0874183,
0.0966696,
0.0984448,
0.1004379,
0.1026235,
0.105453,
0.1087135,
0.112603,
0.1172776,
0.1228325,
0.1294783,
0.1373643,
0.1469122,
0.1581938,
0.1716069,
0.1879166,
0.2076033,
0.2308799,
0.2590433,
0.2929326,
0.332997,
0.3816021,
0.43962,
0.5099394,
0.5937906,
0.693566,
0.8146167,
0.958992,
1.1336476
],
[
0.0854337,
0.0950897,
0.0965201,
0.0985794,
0.1012895,
0.1041722,
0.1075164,
0.1115403,
0.1161747,
0.1219685,
0.1286796,
0.136679,
0.1462662,
0.1577256,
0.1710572,
0.1875986,
0.2072277,
0.2298701,
0.2588074,
0.2928173,
0.3330012,
0.3819176,
0.4390764,
0.5096818,
0.5941188,
0.6946426,
0.8137302,
0.9589102,
1.1348007
],
[
0.0838633,
0.0927426,
0.0943737,
0.0966297,
0.0990308,
0.1016443,
0.105417,
0.1094523,
0.1140919,
0.1199361,
0.1265593,
0.1347765,
0.1445991,
0.1563034,
0.1694777,
0.1862019,
0.2063434,
0.22939,
0.2579201,
0.2914987,
0.332813,
0.3813775,
0.4388415,
0.5086238,
0.5934164,
0.694168,
0.8143102,
0.9589853,
1.1331049
],
[
0.0827853,
0.0916853,
0.0933821,
0.0952683,
0.0976672,
0.1005585,
0.1039393,
0.1079627,
0.1127629,
0.118624,
0.1253948,
0.1336427,
0.1433108,
0.1549758,
0.1690185,
0.1854833,
0.2052136,
0.2284046,
0.2573006,
0.2917662,
0.3321581,
0.3800174,
0.4385188,
0.5089352,
0.5916456,
0.6939664,
0.8146207,
0.9583088,
1.1318213
],
[
0.0818527,
0.090558,
0.0923042,
0.0942143,
0.0964659,
0.0993001,
0.1026793,
0.1066405,
0.1115751,
0.1173011,
0.1240262,
0.1319078,
0.1416731,
0.1534644,
0.1673433,
0.1842523,
0.2041254,
0.2275855,
0.2557262,
0.2899429,
0.3303853,
0.3790788,
0.4382394,
0.5084135,
0.5923943,
0.6932846,
0.8129557,
0.9577602,
1.1320898
],
[
0.0813984,
0.09001,
0.0916915,
0.0935937,
0.0961305,
0.098777,
0.1022611,
0.1061064,
0.1108172,
0.1164759,
0.1229835,
0.1315159,
0.1410958,
0.1527785,
0.1667193,
0.1827244,
0.2029259,
0.2267973,
0.2552888,
0.2889425,
0.3297999,
0.3790508,
0.4368854,
0.5070078,
0.5910894,
0.6918073,
0.8128404,
0.9572457,
1.1327492
],
[
0.0807466,
0.0893171,
0.0909348,
0.0928646,
0.0952258,
0.0979596,
0.1013384,
0.1053173,
0.1099253,
0.1155996,
0.1222392,
0.1304001,
0.1400615,
0.1516884,
0.1651648,
0.1819234,
0.2017007,
0.2253106,
0.2535892,
0.2873452,
0.3284866,
0.3771966,
0.4360021,
0.5064523,
0.5906655,
0.6914794,
0.8122383,
0.9570885,
1.1312928
],
[
0.080579,
0.0891943,
0.090709,
0.0926295,
0.0949058,
0.0977178,
0.101,
0.1049009,
0.1096606,
0.1152493,
0.1218153,
0.1299073,
0.13956,
0.1511275,
0.1650522,
0.1815464,
0.2010638,
0.2249178,
0.2532703,
0.2872439,
0.328039,
0.3769487,
0.4355704,
0.5057078,
0.5898435,
0.691099,
0.8118405,
0.9563084,
1.1310356
],
[
0.0802893,
0.0888352,
0.090536,
0.0925279,
0.0947246,
0.0975281,
0.1007036,
0.1047318,
0.1094199,
0.1148988,
0.1217166,
0.129762,
0.1394349,
0.1508125,
0.1646431,
0.1811115,
0.200721,
0.2246962,
0.2527277,
0.2867091,
0.3274275,
0.3766026,
0.4349839,
0.505045,
0.5889111,
0.6902376,
0.8108019,
0.9568554,
1.1306898
]
]
}
},
"max_capacitance": 0.314722,
"max_transition": 13.647908,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": {
"cell_fall,delay_template11x29": {
"index_1": [
0.0013333,
0.0173333,
0.0346667,
0.096,
0.288,
0.576,
1.152,
1.728,
3.33333,
4.16667,
5
],
"index_2": [
0,
0.00879,
0.01055,
0.01266,
0.01519,
0.01823,
0.02187,
0.02625,
0.0315,
0.0378,
0.04536,
0.05443,
0.06532,
0.07838,
0.09406,
0.11287,
0.13544,
0.16253,
0.19503,
0.23403,
0.28084,
0.33701,
0.40441,
0.48529,
0.58235,
0.69882,
0.83858,
1.0063,
1.20756
],
"values": [
[
0.1451092,
0.1701413,
0.1751592,
0.1811746,
0.187941,
0.196252,
0.206296,
0.2181789,
0.2328003,
0.2505321,
0.2702648,
0.2958663,
0.3250539,
0.3603059,
0.4030119,
0.4527502,
0.5148718,
0.5878041,
0.6750381,
0.7802043,
0.9059133,
1.0558648,
1.2389946,
1.4553623,
1.7156805,
2.0355182,
2.4062918,
2.8594458,
3.400799
],
[
0.1537817,
0.1789814,
0.1838361,
0.1900273,
0.1970137,
0.2048348,
0.2155502,
0.2277518,
0.2424212,
0.2593069,
0.280094,
0.3042767,
0.3343192,
0.3698089,
0.412495,
0.4632912,
0.5242451,
0.5970947,
0.6848244,
0.7900647,
0.9151796,
1.0669159,
1.2481491,
1.4662881,
1.7257807,
2.0408917,
2.4161015,
2.8686775,
3.4071714
],
[
0.1642775,
0.1900929,
0.1951506,
0.2012114,
0.20853,
0.2167373,
0.2265546,
0.2381663,
0.252641,
0.2699448,
0.2905481,
0.3151377,
0.3447889,
0.3804219,
0.4228053,
0.4733851,
0.5341359,
0.6077842,
0.6946069,
0.8003517,
0.925617,
1.0773625,
1.2591935,
1.4762799,
1.7381278,
2.0493209,
2.4320621,
2.8855793,
3.4194787
],
[
0.2010661,
0.2277872,
0.2329823,
0.2389128,
0.2458777,
0.253914,
0.2631013,
0.2749977,
0.2925644,
0.3101034,
0.3308694,
0.3521412,
0.3844891,
0.4170492,
0.4596195,
0.5100756,
0.5694347,
0.6408957,
0.7290064,
0.8333552,
0.960602,
1.1109647,
1.2925532,
1.5113061,
1.771997,
2.0851798,
2.4623592,
2.914049,
3.4551879
],
[
0.3219344,
0.3495857,
0.3547993,
0.3609813,
0.3689456,
0.3777992,
0.3879902,
0.4004714,
0.4149034,
0.4318727,
0.4511211,
0.4790749,
0.5054607,
0.5441733,
0.5835954,
0.632392,
0.6949219,
0.763451,
0.8504473,
0.9539965,
1.0895643,
1.23017,
1.4091359,
1.640394,
1.9000521,
2.2158055,
2.5913969,
3.0457865,
3.5911882
],
[
0.5080367,
0.5353497,
0.5403852,
0.5471923,
0.5538284,
0.5622477,
0.5740333,
0.585315,
0.6013464,
0.6192363,
0.6405372,
0.6662372,
0.6953965,
0.7322222,
0.7742222,
0.8254402,
0.8857209,
0.9586504,
1.0466743,
1.1510223,
1.277734,
1.428707,
1.6099462,
1.8315394,
2.0896735,
2.4052682,
2.7736609,
3.2256513,
3.7548635
],
[
0.8684958,
0.9046163,
0.9112534,
0.9188856,
0.9282327,
0.9377957,
0.9496596,
0.9631168,
0.9766785,
0.9953288,
1.0159965,
1.0415364,
1.0710187,
1.1073379,
1.1506764,
1.2019673,
1.264045,
1.3373477,
1.4239676,
1.5314862,
1.6561004,
1.8062818,
1.9907951,
2.2071631,
2.4677906,
2.7834974,
3.1542173,
3.6091605,
4.1452997
],
[
1.1995615,
1.2484507,
1.2559251,
1.2656873,
1.2760967,
1.2899053,
1.3056847,
1.3216145,
1.3431707,
1.3656548,
1.390418,
1.4180163,
1.4498683,
1.4849549,
1.5275043,
1.5787348,
1.6411582,
1.714517,
1.8017995,
1.9051725,
2.0321795,
2.1829911,
2.3660915,
2.5840989,
2.8457674,
3.160612,
3.5326349,
3.985674,
4.5279727
],
[
2.0505346,
2.1272408,
2.1395026,
2.1550766,
2.1732898,
2.19444,
2.2178355,
2.2442612,
2.2752025,
2.3102051,
2.3498075,
2.3924409,
2.4410376,
2.4950169,
2.5521709,
2.6176525,
2.6904008,
2.7689397,
2.8556606,
2.9622917,
3.0880145,
3.2383074,
3.4180294,
3.6387138,
3.9031169,
4.2149768,
4.5911404,
5.0390514,
5.5879137
],
[
2.4678124,
2.5564322,
2.5725782,
2.5911224,
2.6114801,
2.6360734,
2.6634368,
2.6960769,
2.7315881,
2.7721165,
2.8170144,
2.868805,
2.9226632,
2.985332,
3.0536331,
3.1297103,
3.2119618,
3.3039651,
3.4029999,
3.5103567,
3.6363352,
3.7880632,
3.9695154,
4.1828665,
4.4501323,
4.7604964,
5.1398077,
5.5890448,
6.1323626
],
[
2.8727194,
2.9748817,
2.9927509,
3.0138947,
3.0383132,
3.0651353,
3.0970821,
3.133656,
3.1747569,
3.2194469,
3.2717511,
3.3277647,
3.3922387,
3.4630365,
3.540497,
3.6242263,
3.7184809,
3.8208916,
3.9325387,
4.051564,
4.1853121,
4.3365609,
4.517096,
4.7342539,
4.9935567,
5.3112621,
5.6809872,
6.1402115,
6.6754464
]
]
},
"cell_rise,delay_template11x29": {
"index_1": [
0.0013333,
0.0173333,
0.0346667,
0.096,
0.288,
0.576,
1.152,
1.728,
3.33333,
4.16667,
5
],
"index_2": [
0,
0.00879,
0.01055,
0.01266,
0.01519,
0.01823,
0.02187,
0.02625,
0.0315,
0.0378,
0.04536,
0.05443,
0.06532,
0.07838,
0.09406,
0.11287,
0.13544,
0.16253,
0.19503,
0.23403,
0.28084,
0.33701,
0.40441,
0.48529,
0.58235,
0.69882,
0.83858,
1.0063,
1.20756
],
"values": [
[
0.4376133,
0.5266679,
0.5422959,
0.5608791,
0.5827212,
0.6087653,
0.6400192,
0.6776659,
0.7229749,
0.7762692,
0.8407236,
0.9177613,
1.0101533,
1.120988,
1.253428,
1.4097296,
1.5997411,
1.8292852,
2.1007216,
2.4303624,
2.8239875,
3.2953671,
3.856877,
4.554281,
5.378014,
6.3378809,
7.5036732,
8.9207184,
10.6120286
],
[
0.4389894,
0.5281559,
0.5445783,
0.5638603,
0.5864433,
0.6133359,
0.6452821,
0.6830077,
0.7281512,
0.7828102,
0.8475085,
0.9243489,
1.0169513,
1.1277249,
1.2602911,
1.4185634,
1.6075613,
1.8367093,
2.1084625,
2.4388904,
2.831954,
3.3027894,
3.869721,
4.5498894,
5.3610489,
6.3450716,
7.514287,
8.9265697,
10.6166196
],
[
0.4428347,
0.5319262,
0.5459924,
0.5657924,
0.5925684,
0.620884,
0.6538531,
0.6922288,
0.7374438,
0.7914944,
0.8546259,
0.9329034,
1.0260268,
1.1376052,
1.2707141,
1.4285374,
1.6194288,
1.8468957,
2.1212956,
2.447293,
2.8423943,
3.3140128,
3.8778398,
4.559868,
5.3745159,
6.3473964,
7.5235471,
8.9338217,
10.623701
],
[
0.4701443,
0.5604048,
0.5775368,
0.5979369,
0.6222632,
0.6512871,
0.685329,
0.7248596,
0.7674336,
0.8248139,
0.8933015,
0.9709255,
1.0630473,
1.1753317,
1.306445,
1.4646094,
1.6463505,
1.8715083,
2.1445283,
2.4769937,
2.8644682,
3.3376195,
3.9080268,
4.5828492,
5.4073914,
6.3737025,
7.5614732,
8.9527834,
10.6612923
],
[
0.5960987,
0.6833286,
0.6997835,
0.7203707,
0.743077,
0.7664264,
0.80536,
0.8444398,
0.8868584,
0.9426034,
1.010266,
1.0901761,
1.1900027,
1.3013002,
1.4235765,
1.586671,
1.780303,
2.0133213,
2.2877924,
2.6148081,
3.0084271,
3.4840615,
4.042886,
4.7318816,
5.5390714,
6.5265851,
7.6937177,
9.1106109,
10.7915739
],
[
0.7927121,
0.879871,
0.8964967,
0.9155394,
0.9389324,
0.9671474,
0.9989106,
1.0400416,
1.0868054,
1.142737,
1.2093505,
1.2884718,
1.3843375,
1.4962047,
1.6305171,
1.7913484,
1.9804738,
2.2016748,
2.4750582,
2.8033231,
3.1922025,
3.6882435,
4.2588528,
4.9372271,
5.7151226,
6.6987593,
7.8742461,
9.2739141,
10.9758407
],
[
1.1942675,
1.2805639,
1.2969162,
1.3161057,
1.338696,
1.36624,
1.3994875,
1.436988,
1.4851883,
1.5402087,
1.6065592,
1.6828001,
1.7773759,
1.8906985,
2.0276802,
2.1919428,
2.3812217,
2.6054006,
2.8921667,
3.2165377,
3.6176768,
4.0912576,
4.6565964,
5.3380149,
6.1438961,
7.0926487,
8.2727976,
9.6744852,
11.3585585
],
[
1.6027801,
1.6865387,
1.7031311,
1.7227336,
1.7456638,
1.7729331,
1.8050929,
1.8438973,
1.8899806,
1.9438982,
2.0074669,
2.0897575,
2.1814686,
2.2958957,
2.4308362,
2.5903584,
2.7847711,
3.0146301,
3.2907959,
3.6241554,
4.021627,
4.4884065,
5.0525437,
5.7359482,
6.5425696,
7.4963795,
8.7072447,
10.120357,
11.8187558
],
[
2.7392002,
2.8257316,
2.8405513,
2.8595821,
2.882147,
2.910197,
2.9423552,
2.9811744,
3.0278129,
3.0815073,
3.1472875,
3.2239824,
3.3182268,
3.4307632,
3.5671652,
3.7255576,
3.9159707,
4.1471622,
4.4230255,
4.7535154,
5.1488001,
5.6195435,
6.1991474,
6.8751267,
7.6820355,
8.6765457,
9.8416901,
11.2519762,
12.9368747
],
[
3.3109016,
3.412985,
3.4307734,
3.4508458,
3.4751457,
3.5028385,
3.5349319,
3.573136,
3.6183556,
3.6736911,
3.7391592,
3.8160972,
3.9107761,
4.0222417,
4.1565413,
4.3159067,
4.5078545,
4.7356608,
5.012446,
5.3469495,
5.7335612,
6.2138703,
6.7789085,
7.4665421,
8.2876976,
9.2381658,
10.4382565,
11.8491563,
13.5260274
],
[
3.8692214,
3.9864188,
4.0057517,
4.0305816,
4.0577057,
4.0889385,
4.1238062,
4.1664729,
4.2113824,
4.2647934,
4.331298,
4.4098818,
4.5017852,
4.6145017,
4.7461679,
4.9089799,
5.1017564,
5.3270068,
5.6080394,
5.9321494,
6.3305556,
6.8008119,
7.369799,
8.0552285,
8.8718588,
9.8513127,
11.0298144,
12.4313422,
14.1232075
]
]
},
"fall_transition,delay_template11x29": {
"index_1": [
0.0013333,
0.0173333,
0.0346667,
0.096,
0.288,
0.576,
1.152,
1.728,
3.33333,
4.16667,
5
],
"index_2": [
0,
0.00879,
0.01055,
0.01266,
0.01519,
0.01823,
0.02187,
0.02625,
0.0315,
0.0378,
0.04536,
0.05443,
0.06532,
0.07838,
0.09406,
0.11287,
0.13544,
0.16253,
0.19503,
0.23403,
0.28084,
0.33701,
0.40441,
0.48529,
0.58235,
0.69882,
0.83858,
1.0063,
1.20756
],
"values": [
[
0.0899175,
0.1224189,
0.1285748,
0.1365712,
0.1457301,
0.1553416,
0.1690753,
0.1856515,
0.2045161,
0.2284413,
0.2556809,
0.2890178,
0.325939,
0.3743344,
0.4294957,
0.4980266,
0.5818143,
0.6775184,
0.7900421,
0.9313573,
1.1027782,
1.2993007,
1.545265,
1.8341187,
2.1856632,
2.6010121,
3.1005163,
3.7171548,
4.4313935
],
[
0.0903388,
0.1226883,
0.1298228,
0.137118,
0.1463539,
0.1569178,
0.1701071,
0.185767,
0.205447,
0.2274977,
0.254252,
0.2868835,
0.32722,
0.3739837,
0.4332047,
0.4974247,
0.5791616,
0.6760719,
0.793476,
0.9346669,
1.1029828,
1.3056051,
1.5480168,
1.8380155,
2.1819211,
2.6053131,
3.1099845,
3.7113481,
4.4384536
],
[
0.0909025,
0.1226797,
0.1299445,
0.1375165,
0.1463804,
0.1572768,
0.1700919,
0.1864594,
0.2055071,
0.2286709,
0.2558225,
0.2873232,
0.3266316,
0.374203,
0.4306718,
0.4964418,
0.5792412,
0.6773366,
0.7909469,
0.9345538,
1.1037561,
1.304484,
1.5479744,
1.8387815,
2.1865891,
2.6032647,
3.1162493,
3.7146046,
4.4352766
],
[
0.0899127,
0.1236535,
0.1296168,
0.1362338,
0.1453646,
0.1570924,
0.1706339,
0.1863348,
0.2057448,
0.228293,
0.2549292,
0.2887405,
0.3277569,
0.3752834,
0.4304502,
0.4966011,
0.5805345,
0.6784261,
0.7956202,
0.9348484,
1.1047951,
1.3045451,
1.5485951,
1.8418329,
2.1868733,
2.6065934,
3.1041523,
3.7220447,
4.4396926
],
[
0.0911533,
0.12273,
0.1291747,
0.136127,
0.1465808,
0.1569741,
0.1706293,
0.1866965,
0.2051406,
0.2268529,
0.2542833,
0.2886522,
0.3278036,
0.3723765,
0.4314918,
0.4991324,
0.5812442,
0.6777174,
0.7949891,
0.9357174,
1.1032445,
1.3046207,
1.5470742,
1.8386507,
2.1875219,
2.6021398,
3.1166925,
3.7223422,
4.44015
],
[
0.0928036,
0.1219956,
0.1285512,
0.136696,
0.1455746,
0.1576658,
0.1700128,
0.1857016,
0.2043497,
0.2276781,
0.2552309,
0.2862329,
0.3262438,
0.3727646,
0.4307505,
0.4976516,
0.5807685,
0.6776474,
0.7936487,
0.9349925,
1.1034204,
1.3052054,
1.5478796,
1.8385252,
2.186205,
2.6034905,
3.114762,
3.7130998,
4.4430641
],
[
0.1357119,
0.1588606,
0.1622646,
0.1685464,
0.1745562,
0.1827394,
0.1935604,
0.2060629,
0.2226287,
0.2420487,
0.2657006,
0.2956193,
0.3332681,
0.3783958,
0.4309791,
0.4973998,
0.5788094,
0.6760412,
0.7947398,
0.9350116,
1.1010051,
1.303249,
1.5481312,
1.8385607,
2.1867637,
2.6060005,
3.1151113,
3.7056893,
4.4446202
],
[
0.1799497,
0.2105038,
0.2155673,
0.2225073,
0.230374,
0.2394592,
0.24893,
0.2601238,
0.2710788,
0.2871681,
0.3072582,
0.3329894,
0.3627005,
0.4037484,
0.4521317,
0.5112173,
0.5872621,
0.679072,
0.7944341,
0.9348514,
1.1032616,
1.3042035,
1.5478037,
1.8376758,
2.1849618,
2.6080413,
3.1123648,
3.7167578,
4.4340247
],
[
0.293947,
0.3396072,
0.3472229,
0.3563185,
0.3681703,
0.3807132,
0.3959516,
0.4122017,
0.4316667,
0.4500705,
0.4729946,
0.5007346,
0.5283362,
0.5625213,
0.597593,
0.6430235,
0.6980455,
0.7726851,
0.8684807,
0.9840868,
1.1312713,
1.3216419,
1.5541959,
1.8349848,
2.1867776,
2.6030462,
3.116527,
3.7177323,
4.446131
],
[
0.3510006,
0.4020719,
0.4128409,
0.4227351,
0.4354686,
0.4505892,
0.4676211,
0.4848334,
0.505712,
0.5288274,
0.5560241,
0.5867764,
0.6198296,
0.6553556,
0.6957361,
0.7388491,
0.7882733,
0.8534987,
0.937162,
1.0453507,
1.1836082,
1.3578633,
1.5798607,
1.8501616,
2.1916238,
2.6051588,
3.1039258,
3.7214198,
4.4476622
],
[
0.405886,
0.4626906,
0.4753471,
0.4856782,
0.5004211,
0.5176226,
0.5339724,
0.5550043,
0.5778445,
0.6052382,
0.6339518,
0.6678365,
0.7015932,
0.7446534,
0.7900899,
0.8381495,
0.8914351,
0.947153,
1.0224466,
1.1205576,
1.2470882,
1.4098126,
1.6172407,
1.8807547,
2.204908,
2.611136,
3.1159075,
3.7099035,
4.4461719
]
]
},
"related_pin": "A",
"rise_transition,delay_template11x29": {
"index_1": [
0.0013333,
0.0173333,
0.0346667,
0.096,
0.288,
0.576,
1.152,
1.728,
3.33333,
4.16667,
5
],
"index_2": [
0,
0.00879,
0.01055,
0.01266,
0.01519,
0.01823,
0.02187,
0.02625,
0.0315,
0.0378,
0.04536,
0.05443,
0.06532,
0.07838,
0.09406,
0.11287,
0.13544,
0.16253,
0.19503,
0.23403,
0.28084,
0.33701,
0.40441,
0.48529,
0.58235,
0.69882,
0.83858,
1.0063,
1.20756
],
"values": [
[
0.2664053,
0.3676989,
0.3855185,
0.4081539,
0.4373173,
0.4677717,
0.5086516,
0.5570168,
0.6146562,
0.6839355,
0.7696024,
0.8697867,
0.9902538,
1.1348285,
1.3081972,
1.5149044,
1.7630438,
2.0581565,
2.4215014,
2.8608687,
3.3750098,
3.9971599,
4.7364721,
5.6388045,
6.7117254,
7.9741156,
9.5106714,
11.3768568,
13.614792
],
[
0.2667287,
0.363395,
0.3831938,
0.4063757,
0.4343008,
0.4678065,
0.5083599,
0.55661,
0.6143535,
0.6842834,
0.7679967,
0.8694626,
0.9885055,
1.1325529,
1.3046603,
1.5123585,
1.7638669,
2.0670832,
2.4245987,
2.8582954,
3.3767633,
3.9967012,
4.7378017,
5.6278862,
6.7009167,
8.0156664,
9.5546957,
11.3947287,
13.6120946
],
[
0.2663539,
0.3634574,
0.3830717,
0.4071582,
0.4344737,
0.4681402,
0.5082739,
0.5566909,
0.6145053,
0.685463,
0.7725032,
0.8729447,
0.9924161,
1.132443,
1.3046579,
1.5128702,
1.7703808,
2.0660351,
2.4220279,
2.8536524,
3.3736269,
3.9958379,
4.7369162,
5.63618,
6.7096435,
7.9935152,
9.5457633,
11.3978924,
13.6479077
],
[
0.2664572,
0.367196,
0.3828371,
0.4063092,
0.4342379,
0.4686093,
0.5080601,
0.556693,
0.6149566,
0.6842331,
0.7677479,
0.8695029,
0.988309,
1.1315885,
1.3053848,
1.5128356,
1.7634012,
2.0618929,
2.4237714,
2.8541485,
3.3817604,
3.9945127,
4.7365467,
5.6380629,
6.7064128,
8.0082136,
9.5415187,
11.409929,
13.6243097
],
[
0.2697508,
0.3637117,
0.3828992,
0.4106937,
0.4343749,
0.4680594,
0.5081495,
0.5565974,
0.6141257,
0.684074,
0.7682517,
0.8684776,
0.993108,
1.1329849,
1.3059788,
1.5200088,
1.76778,
2.0636801,
2.4224129,
2.8532359,
3.3741205,
3.9933972,
4.7456754,
5.6339258,
6.7183681,
7.9951894,
9.5479376,
11.3922312,
13.635975
],
[
0.2660964,
0.3645377,
0.3840007,
0.4088415,
0.4372359,
0.4691137,
0.5081238,
0.5567584,
0.6149419,
0.6849521,
0.7674728,
0.8741043,
0.989112,
1.1323442,
1.3070807,
1.514543,
1.7699493,
2.0645594,
2.423322,
2.859462,
3.3757317,
3.9936561,
4.7381037,
5.6355631,
6.7107684,
8.0155287,
9.542374,
11.4095116,
13.6179343
],
[
0.2663368,
0.363776,
0.3838569,
0.4063143,
0.4341077,
0.4682638,
0.5085334,
0.5567043,
0.61537,
0.684471,
0.7695669,
0.8708381,
0.9890763,
1.1347806,
1.3080219,
1.5151581,
1.7715646,
2.0708053,
2.4227189,
2.8600047,
3.3729068,
3.9931987,
4.7390118,
5.632478,
6.7131984,
7.9980902,
9.5392565,
11.3925962,
13.6329144
],
[
0.266232,
0.3650284,
0.3829301,
0.4093603,
0.4346236,
0.4687192,
0.5082044,
0.5601796,
0.6157483,
0.6877313,
0.7679716,
0.8686835,
0.9899167,
1.1379395,
1.3107345,
1.5142259,
1.7706837,
2.069277,
2.4229543,
2.853912,
3.3730696,
4.0049439,
4.7393627,
5.6367657,
6.7224009,
7.9932407,
9.5475103,
11.4010392,
13.6233576
],
[
0.314441,
0.3914289,
0.4080646,
0.4284643,
0.4577519,
0.4828456,
0.5193427,
0.564485,
0.6202887,
0.688157,
0.7696023,
0.8738844,
0.990998,
1.1335658,
1.3077174,
1.5186155,
1.7709646,
2.0655844,
2.4234181,
2.8542948,
3.3745653,
4.0021422,
4.7401998,
5.6324092,
6.722555,
7.9965474,
9.5610089,
11.4089458,
13.6303253
],
[
0.36895,
0.4352477,
0.450542,
0.4692242,
0.4895719,
0.5163256,
0.5493535,
0.594148,
0.6462172,
0.7050563,
0.7818162,
0.8749435,
0.9916264,
1.1401192,
1.3127504,
1.5205913,
1.7656839,
2.0643913,
2.4231768,
2.8555984,
3.3720338,
3.9973074,
4.7528719,
5.6365539,
6.7074022,
8.0185059,
9.5486659,
11.3972307,
13.6259608
],
[
0.4222049,
0.4912666,
0.5038819,
0.5181138,
0.5374154,
0.5627528,
0.5936925,
0.6309432,
0.6781735,
0.7371939,
0.8080501,
0.8966709,
1.011468,
1.1435372,
1.3163891,
1.5155872,
1.765893,
2.0711701,
2.4240288,
2.8614968,
3.3739185,
3.9966599,
4.748145,
5.6348601,
6.7055788,
8.0010496,
9.5407318,
11.4269838,
13.6345646
]
]
},
"timing_sense": "negative_unate"
}
}
}