blob: d1df9ff939e93dbd6745f590c0ece79c00f37a72 [file] [log] [blame]
{
"area": 203.1744,
"cell_footprint": "sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg",
"cell_leakage_power": 47212.87,
"comp_attribute,input_voltage_range": [
1.28,
1.95
],
"comp_attribute,output_voltage_range": [
1.65,
5.5
],
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"is_level_shifter": "true",
"leakage_power": [
{
"value": 369.7882676,
"when": "!A&!SLEEP_B"
},
{
"value": 94114.272,
"when": "!A&SLEEP_B"
},
{
"value": 93869.494,
"when": "A&SLEEP_B"
},
{
"value": 497.9247592,
"when": "A&!SLEEP_B"
}
],
"level_shifter_type": "LH",
"pg_pin,LVPWR": {
"pg_type": "primary_power",
"voltage_name": "LVPWR"
},
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,A": {
"capacitance": 0.005075,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.00498,
"input_signal_level": "LVPWR",
"internal_power": {
"fall_power,power_inputs_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.1358605,
0.1343594,
0.132809,
0.1349783,
0.1437052,
0.1625621,
0.2011845,
0.2441437,
0.3654966,
0.4280543,
0.490612
]
},
"rise_power,power_inputs_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.1174054,
0.1163547,
0.1152727,
0.1177199,
0.1268186,
0.1464855,
0.186494,
0.230011,
0.3525002,
0.4157601,
0.4790202
]
}
},
"level_shifter_data_pin": "true",
"max_transition": 3.75,
"related_ground_pin": "VGND",
"related_power_pin": "LVPWR",
"rise_capacitance": 0.00517
},
"pin,SLEEP_B": {
"capacitance": 0.00288,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.002818,
"internal_power": {
"fall_power,power_inputs_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.1317391,
-0.0395084,
-0.2107132,
-0.3630359,
-0.4739519,
-0.5246967,
-0.454428,
-0.3854614,
0.1136898,
0.2902025,
0.4667152
]
},
"rise_power,power_inputs_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.8176811,
0.815986,
0.8142061,
0.8308797,
0.8845134,
1.0254035,
1.3078586,
1.6387145,
2.5620281,
3.0409983,
3.5199686
]
}
},
"level_shifter_enable_pin": "true",
"max_transition": 3.75,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.002942
},
"pin,X": {
"direction": "output",
"function": "(A&SLEEP_B)",
"internal_power": [
{
"fall_power,power_outputs_3": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00196709,
0.00773891,
0.0304463,
0.119781,
0.471242,
1.85395
],
"values": [
[
1.7274556,
1.6957337,
1.580045,
1.186433,
-0.2213619,
-5.5935966,
-26.6459629
],
[
1.7272433,
1.6957912,
1.5802389,
1.1853254,
-0.2216505,
-5.5929126,
-26.645287
],
[
1.7279307,
1.6965433,
1.5806485,
1.1862684,
-0.2207151,
-5.5920237,
-26.6442582
],
[
1.7254306,
1.6941031,
1.5783266,
1.1846872,
-0.2227306,
-5.5947357,
-26.647152
],
[
1.7268696,
1.6957146,
1.5797393,
1.1858093,
-0.2216764,
-5.5938327,
-26.6459834
],
[
1.7221789,
1.6905797,
1.5744924,
1.1809045,
-0.2265269,
-5.5979335,
-26.6506139
],
[
1.7122178,
1.6814835,
1.565101,
1.1711927,
-0.2362752,
-5.6076188,
-26.6599437
],
[
1.7284548,
1.6962293,
1.5763675,
1.1671667,
-0.2426307,
-5.6143692,
-26.6668039
],
[
1.6996401,
1.6674267,
1.5472895,
1.138315,
-0.2656636,
-5.6314426,
-26.683716
],
[
1.684864,
1.6524145,
1.5326489,
1.1238288,
-0.2804195,
-5.639187,
-26.6914365
],
[
1.6721628,
1.6400539,
1.5200278,
1.1107965,
-0.2932026,
-5.644662,
-26.6966466
]
]
},
"related_pin": "A",
"rise_power,power_outputs_3": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00196709,
0.00773891,
0.0304463,
0.119781,
0.471242,
1.85395
],
"values": [
[
1.8542111,
1.8680466,
1.9275324,
2.2128923,
3.4931458,
8.7053836,
29.292838
],
[
1.8553821,
1.8688277,
1.927991,
2.2136417,
3.4941223,
8.6999773,
29.3079646
],
[
1.8566613,
1.8704813,
1.9291683,
2.214499,
3.4941686,
8.7020556,
29.3017269
],
[
1.8537161,
1.8676102,
1.9262031,
2.2130882,
3.4954898,
8.6996309,
29.2808457
],
[
1.8575869,
1.8687613,
1.929417,
2.2147879,
3.4945367,
8.7058709,
29.3034935
],
[
1.8549,
1.8702829,
1.9282095,
2.2133855,
3.5000296,
8.698806,
29.2911049
],
[
1.8560269,
1.8697365,
1.9276671,
2.2138515,
3.491991,
8.6928593,
29.2928965
],
[
1.8830359,
1.8960862,
1.9529151,
2.2264203,
3.4997909,
8.6958305,
29.2817922
],
[
1.8638339,
1.8768421,
1.9321997,
2.2063678,
3.4989755,
8.6911939,
29.2773883
],
[
1.8576301,
1.8701715,
1.9265698,
2.2009556,
3.4915454,
8.6948202,
29.2800439
],
[
1.8515103,
1.8640013,
1.9213049,
2.196421,
3.4846099,
8.7135904,
29.3130383
]
]
}
},
{
"fall_power,power_outputs_3": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00196709,
0.00773891,
0.0304463,
0.119781,
0.471242,
1.85395
],
"values": [
[
1.4839304,
1.4515644,
1.3330485,
0.9256708,
-0.5332941,
-6.0740294,
-27.7440636
],
[
1.6580816,
1.6253904,
1.5055924,
1.0968851,
-0.361496,
-5.9005274,
-27.5694271
],
[
1.828161,
1.7955625,
1.6777538,
1.2697644,
-0.1883064,
-5.7297772,
-27.3960415
],
[
1.9823262,
1.9505116,
1.8327499,
1.4246,
-0.0346306,
-5.5747128,
-27.243834
],
[
2.1272611,
2.0946389,
1.9768759,
1.5689121,
0.1107647,
-5.4304756,
-27.0971558
],
[
2.2580624,
2.2255421,
2.1074641,
1.7015047,
0.2407689,
-5.2982533,
-26.9666557
],
[
2.4064357,
2.3746963,
2.2568359,
1.8487937,
0.3893063,
-5.1510723,
-26.821514
],
[
2.5836917,
2.5510438,
2.4315035,
2.0251699,
0.564411,
-4.9751519,
-26.6466098
],
[
2.850278,
2.817327,
2.6942819,
2.2730397,
0.8136904,
-4.7308652,
-26.4021631
],
[
3.0572469,
3.0241896,
2.9009054,
2.4787201,
1.0360606,
-4.5086329,
-26.1828426
],
[
3.2714609,
3.2390241,
3.1148331,
2.6913689,
1.2533296,
-4.2791753,
-25.9495694
]
]
},
"related_pin": "SLEEP_B",
"rise_power,power_outputs_3": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00196709,
0.00773891,
0.0304463,
0.119781,
0.471242,
1.85395
],
"values": [
[
1.314223,
1.3291233,
1.389254,
1.6797323,
2.9955212,
8.3386259,
29.5007828
],
[
1.3178693,
1.3327153,
1.3921709,
1.6836364,
2.9985586,
8.3426361,
29.5084615
],
[
1.32016,
1.3336612,
1.3937981,
1.6858334,
3.0009785,
8.3556595,
29.5078228
],
[
1.3079942,
1.3222776,
1.3829332,
1.6738966,
2.9896322,
8.3324684,
29.5041336
],
[
1.3166961,
1.3312116,
1.3918947,
1.6825555,
2.9971347,
8.3406221,
29.5062104
],
[
1.3375303,
1.3533943,
1.4107022,
1.7042123,
3.0115126,
8.3535194,
29.5151162
],
[
1.4669286,
1.478499,
1.5312841,
1.7934739,
3.0899953,
8.4195289,
29.5759389
],
[
1.5529146,
1.5642623,
1.6172188,
1.8727824,
3.1151031,
8.4421516,
29.5900592
],
[
1.7211773,
1.7323428,
1.7817577,
2.0252318,
3.2617709,
8.4755379,
29.6154174
],
[
1.8083401,
1.8146185,
1.8661233,
2.1088758,
3.3361332,
8.5335352,
29.6486422
],
[
1.8781777,
1.8874936,
1.9331672,
2.1677109,
3.3892615,
8.6238872,
29.6537892
]
]
}
}
],
"max_capacitance": 1.853381,
"max_transition": 3.752095,
"power_down_function": "(!LVPWR+!VPWR+VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"cell_fall,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00196709,
0.00773891,
0.0304463,
0.119781,
0.471242,
1.85395
],
"values": [
[
0.5126632,
0.5164059,
0.5288613,
0.568221,
0.7022594,
1.2243971,
3.2894978
],
[
0.515084,
0.5188187,
0.5314827,
0.5707878,
0.7047206,
1.2266772,
3.2854885
],
[
0.5188199,
0.5225459,
0.5351187,
0.5745389,
0.7084584,
1.2304738,
3.2893499
],
[
0.5326817,
0.5364274,
0.5490027,
0.5883556,
0.7224108,
1.2441144,
3.3052092
],
[
0.5632515,
0.567055,
0.5796735,
0.6190264,
0.7530224,
1.2747062,
3.3355683
],
[
0.5925552,
0.5962299,
0.608774,
0.6481541,
0.7821893,
1.3047085,
3.3631224
],
[
0.6387103,
0.6424176,
0.655018,
0.6942208,
0.8282882,
1.3506214,
3.4106229
],
[
0.6759303,
0.6796906,
0.6921386,
0.7313876,
0.8655966,
1.3870781,
3.4498295
],
[
0.761446,
0.7651689,
0.7778826,
0.8172102,
0.9512174,
1.4731041,
3.5345318
],
[
0.7999544,
0.8036954,
0.8162678,
0.8556072,
0.9896798,
1.5116947,
3.5726442
],
[
0.8359898,
0.8397274,
0.8524452,
0.8917441,
1.0257587,
1.5488538,
3.6062813
]
]
},
"cell_rise,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00196709,
0.00773891,
0.0304463,
0.119781,
0.471242,
1.85395
],
"values": [
[
0.552991,
0.5560746,
0.5659864,
0.5942312,
0.6782439,
0.9882677,
2.2117159
],
[
0.5557034,
0.5587471,
0.5687302,
0.5969007,
0.680986,
0.9910744,
2.2130318
],
[
0.5590228,
0.5620223,
0.5720387,
0.6004143,
0.6844656,
0.9941955,
2.2178961
],
[
0.5703629,
0.5733872,
0.5833818,
0.6116072,
0.6956052,
1.0060006,
2.2278386
],
[
0.5893236,
0.5925141,
0.6023418,
0.6307123,
0.714747,
1.0249506,
2.247309
],
[
0.6034666,
0.6064863,
0.6165245,
0.644798,
0.7287105,
1.0392055,
2.2621101
],
[
0.6152404,
0.6182536,
0.6281788,
0.6565112,
0.7404274,
1.0508137,
2.2738451
],
[
0.6195745,
0.6224903,
0.6325461,
0.6607986,
0.7447078,
1.0546954,
2.276114
],
[
0.6117834,
0.6148126,
0.6245808,
0.6529469,
0.7371497,
1.0476767,
2.2677027
],
[
0.6034974,
0.6065392,
0.6165151,
0.6446946,
0.7287389,
1.0387315,
2.2630831
],
[
0.5921022,
0.5951458,
0.6051506,
0.6333584,
0.7173765,
1.0280847,
2.2497495
]
]
},
"fall_transition,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00196709,
0.00773891,
0.0304463,
0.119781,
0.471242,
1.85395
],
"values": [
[
0.0364843,
0.0397213,
0.0512101,
0.0922968,
0.2613432,
0.9629899,
3.7454111
],
[
0.0363273,
0.0395967,
0.0518621,
0.0921145,
0.2606044,
0.9645789,
3.7398653
],
[
0.0364589,
0.0399291,
0.0515662,
0.0919704,
0.2606215,
0.9647612,
3.7389611
],
[
0.0366235,
0.0397278,
0.0512117,
0.0923575,
0.2609799,
0.9637046,
3.7473207
],
[
0.0364795,
0.0398732,
0.0516681,
0.0922681,
0.2611805,
0.9647929,
3.7465071
],
[
0.0364544,
0.039749,
0.0521833,
0.0923419,
0.2610585,
0.966083,
3.741933
],
[
0.0364155,
0.0396417,
0.0515195,
0.0918044,
0.2612131,
0.9638939,
3.7497001
],
[
0.0366972,
0.0400189,
0.0518879,
0.0919719,
0.2616399,
0.9643879,
3.7520955
],
[
0.036689,
0.0399389,
0.0516902,
0.0922534,
0.2617039,
0.9651402,
3.7492658
],
[
0.0365043,
0.0397004,
0.0511752,
0.0923632,
0.2614659,
0.9630057,
3.7475969
],
[
0.0370053,
0.0399071,
0.0520268,
0.0922215,
0.2611591,
0.965807,
3.7451433
]
]
},
"related_pin": "A",
"rise_transition,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00196709,
0.00773891,
0.0304463,
0.119781,
0.471242,
1.85395
],
"values": [
[
0.035706,
0.0378592,
0.0458865,
0.0729981,
0.1835625,
0.6598272,
2.5554356
],
[
0.0360496,
0.0381071,
0.0457942,
0.0728815,
0.1836364,
0.6575859,
2.5568965
],
[
0.0356483,
0.0381006,
0.0461887,
0.0729546,
0.1833874,
0.6590621,
2.5485325
],
[
0.0359426,
0.0379684,
0.0459518,
0.0728159,
0.1832909,
0.6602968,
2.5498608
],
[
0.0357848,
0.0381612,
0.0462035,
0.0728793,
0.1831071,
0.6596038,
2.5440479
],
[
0.0356301,
0.038016,
0.0458376,
0.0730118,
0.1831726,
0.657399,
2.5470956
],
[
0.0360569,
0.0380755,
0.0459963,
0.0728907,
0.1832132,
0.6586742,
2.5458726
],
[
0.0357012,
0.0379987,
0.0458355,
0.0730126,
0.1831338,
0.659074,
2.5458824
],
[
0.0359373,
0.0381884,
0.0461135,
0.0725805,
0.183432,
0.656542,
2.5475031
],
[
0.036163,
0.0384647,
0.0458048,
0.07286,
0.1832362,
0.6583445,
2.5476439
],
[
0.0361594,
0.0384335,
0.0459252,
0.0723275,
0.1834796,
0.6585807,
2.551876
]
]
},
"timing_sense": "positive_unate",
"timing_type": "combinational"
},
{
"cell_fall,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00196709,
0.00773891,
0.0304463,
0.119781,
0.471242,
1.85395
],
"values": [
[
0.736039,
0.7398598,
0.7529624,
0.7928901,
0.9263952,
1.4466164,
3.5068015
],
[
0.7396083,
0.743426,
0.7563403,
0.7966759,
0.9303579,
1.45057,
3.5109247
],
[
0.7423496,
0.746187,
0.7594292,
0.7993654,
0.9324398,
1.4526539,
3.5117422
],
[
0.7523801,
0.7563021,
0.769471,
0.8093937,
0.9428021,
1.4626335,
3.5222958
],
[
0.7956917,
0.7995258,
0.8127679,
0.8527015,
0.9857622,
1.5069676,
3.5662477
],
[
0.871106,
0.8749048,
0.8880285,
0.9280332,
1.0616428,
1.5816766,
3.6426142
],
[
1.0269605,
1.0308786,
1.0440655,
1.0839953,
1.217379,
1.7371882,
3.7964902
],
[
1.1745864,
1.1783796,
1.1912494,
1.2311033,
1.3647132,
1.885373,
3.945356
],
[
1.5530705,
1.5569659,
1.569833,
1.6098206,
1.7432518,
2.2628428,
4.3234492
],
[
1.7343512,
1.7382465,
1.7511543,
1.7912595,
1.924525,
2.4439724,
4.5033599
],
[
1.9060618,
1.9098985,
1.9229385,
1.9630011,
2.0961639,
2.6155669,
4.6759823
]
]
},
"cell_rise,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00196709,
0.00773891,
0.0304463,
0.119781,
0.471242,
1.85395
],
"values": [
[
0.3596242,
0.3626955,
0.372704,
0.4009927,
0.484953,
0.7949809,
2.0182451
],
[
0.3615436,
0.3646648,
0.374503,
0.4029219,
0.4869867,
0.7964741,
2.0186945
],
[
0.3632891,
0.3661746,
0.3761872,
0.4046368,
0.4886793,
0.7987299,
2.0192834
],
[
0.3709702,
0.3740389,
0.3840286,
0.412301,
0.4963034,
0.8060888,
2.0279727
],
[
0.3943966,
0.3974765,
0.4074509,
0.435816,
0.519869,
0.829378,
2.0520501
],
[
0.4091726,
0.4123851,
0.4223045,
0.4508474,
0.5348437,
0.8449491,
2.0680762
],
[
0.3968205,
0.3999719,
0.4102441,
0.4395179,
0.5237103,
0.8326643,
2.0534767
],
[
0.3584619,
0.361938,
0.3723978,
0.4020293,
0.4869557,
0.796118,
2.0186341
],
[
0.1974027,
0.2007578,
0.2118488,
0.2427684,
0.3292849,
0.6384874,
1.8599796
],
[
0.0987282,
0.1020472,
0.113707,
0.1447917,
0.2316461,
0.541774,
1.7601588
],
[
-0.0051268,
-0.001618,
0.009857,
0.0419464,
0.1295741,
0.4398831,
1.6599081
]
]
},
"fall_transition,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00196709,
0.00773891,
0.0304463,
0.119781,
0.471242,
1.85395
],
"values": [
[
0.0403209,
0.0437927,
0.0562039,
0.0964459,
0.2631035,
0.9638723,
3.7437822
],
[
0.040312,
0.0437163,
0.0564028,
0.0963607,
0.2629284,
0.9640909,
3.7498427
],
[
0.040635,
0.0439555,
0.0562064,
0.0966989,
0.2631532,
0.9642565,
3.7401726
],
[
0.040705,
0.0440849,
0.0561226,
0.0966791,
0.2628777,
0.9642626,
3.7460466
],
[
0.0406412,
0.0439509,
0.0562186,
0.096709,
0.2631993,
0.9643411,
3.7382111
],
[
0.0401903,
0.0437178,
0.0558722,
0.0964104,
0.2630333,
0.9628695,
3.7491401
],
[
0.0407463,
0.0441146,
0.0561696,
0.0967111,
0.2629018,
0.9642604,
3.7453938
],
[
0.0403402,
0.0438601,
0.0561858,
0.0965551,
0.2625387,
0.9637532,
3.7456096
],
[
0.0410112,
0.0443748,
0.0561398,
0.0969597,
0.2635844,
0.9647652,
3.7480148
],
[
0.0414491,
0.04482,
0.0566604,
0.0976543,
0.2633566,
0.9633541,
3.7453245
],
[
0.0419749,
0.0454828,
0.0574274,
0.0982378,
0.2637469,
0.9624488,
3.7505319
]
]
},
"related_pin": "SLEEP_B",
"rise_transition,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00196709,
0.00773891,
0.0304463,
0.119781,
0.471242,
1.85395
],
"values": [
[
0.0359722,
0.0383672,
0.0463039,
0.0734331,
0.1838375,
0.658186,
2.5483486
],
[
0.0360137,
0.0383503,
0.0462953,
0.0734782,
0.183776,
0.6590276,
2.5481639
],
[
0.0360338,
0.0382823,
0.0463207,
0.0734348,
0.1834823,
0.661593,
2.5502689
],
[
0.036131,
0.038353,
0.0463344,
0.0733797,
0.1837933,
0.6571718,
2.5441078
],
[
0.0361041,
0.038297,
0.046445,
0.0736351,
0.1838636,
0.6589836,
2.5475941
],
[
0.037052,
0.0396218,
0.0476139,
0.0737555,
0.1839547,
0.6585338,
2.5489375
],
[
0.0400329,
0.0424944,
0.0503231,
0.077174,
0.1851621,
0.6587854,
2.5472324
],
[
0.0418733,
0.044527,
0.0530233,
0.0801008,
0.1864798,
0.6593393,
2.5482205
],
[
0.0464563,
0.0497229,
0.0580785,
0.0856825,
0.1903608,
0.6611214,
2.5493083
],
[
0.0484877,
0.0508014,
0.0599546,
0.0862962,
0.1920438,
0.661041,
2.5546387
],
[
0.0502096,
0.0535061,
0.0618083,
0.089635,
0.1933752,
0.6614659,
2.5453264
]
]
},
"timing_sense": "positive_unate",
"timing_type": "combinational"
}
]
}
}