blob: e04426af0d1f00c8582fe6be56562337ae8a64ab [file] [log] [blame]
{
"area": 85.9584,
"cell_footprint": "sky130_fd_sc_hvl__lsbuflv2hv",
"cell_leakage_power": 0.204269,
"comp_attribute,input_voltage_range": [
1.28,
1.95
],
"comp_attribute,output_voltage_range": [
1.65,
5.5
],
"is_level_shifter": "true",
"leakage_power": [
{
"value": 0.204269,
"when": "A"
},
{
"value": 0.204269,
"when": "!A"
}
],
"level_shifter_type": "LH",
"pg_pin,LVPWR": {
"pg_type": "primary_power",
"voltage_name": "LVPWR"
},
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,A": {
"capacitance": 0.002162,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.002101,
"input_signal_level": "LVPWR",
"level_shifter_data_pin": "true",
"max_transition": 5.0,
"related_ground_pin": "VGND",
"related_power_pin": "LVPWR",
"rise_capacitance": 0.002223
},
"pin,X": {
"direction": "output",
"function": "A",
"internal_power": {
"fall_power,power_outputs_2": {
"index_1": [
0.02,
0.0347,
0.05204,
0.07806,
0.1171,
0.17564,
0.26344,
0.39514,
0.59268,
0.88898,
1.3334,
2,
3,
4,
5
],
"index_2": [
0.0005,
0.000999433,
0.00199773,
0.0039932,
0.00798188,
0.0159547,
0.0318914,
0.0637466,
0.127421
],
"values": [
[
1.3149564,
1.3067084,
1.2916337,
1.2757969,
1.2574083,
1.1884174,
0.9813019,
0.5079038,
-0.4589344
],
[
1.3161927,
1.3082135,
1.2931228,
1.2771594,
1.2584092,
1.1899154,
0.9851954,
0.5081478,
-0.4629338
],
[
1.315677,
1.307845,
1.2926065,
1.2765554,
1.2571804,
1.1867447,
0.9815189,
0.5074914,
-0.4621326
],
[
1.3157364,
1.3078605,
1.2926294,
1.2765938,
1.2572077,
1.1858818,
0.9814125,
0.5070209,
-0.4648287
],
[
1.3145315,
1.3065384,
1.2916237,
1.2764972,
1.2542118,
1.1874876,
0.9814204,
0.5063692,
-0.4668632
],
[
1.3142263,
1.3062453,
1.2911364,
1.2753408,
1.2569347,
1.1878664,
0.9806665,
0.5074144,
-0.4643451
],
[
1.3163147,
1.3084432,
1.2934347,
1.2784439,
1.2557417,
1.1893129,
0.9833143,
0.5084565,
-0.4649592
],
[
1.3155873,
1.306711,
1.2914444,
1.2757221,
1.2589567,
1.1884521,
0.9823977,
0.5073399,
-0.464726
],
[
1.3146568,
1.306687,
1.2915125,
1.2769775,
1.2597998,
1.1887641,
0.9828997,
0.5101851,
-0.4643679
],
[
1.3148935,
1.3069574,
1.2917321,
1.2758287,
1.2574894,
1.1887155,
0.9815629,
0.5027108,
-0.4640508
],
[
1.3158056,
1.3067792,
1.2914493,
1.2756801,
1.2589315,
1.188479,
0.9823569,
0.5072395,
-0.4647918
],
[
1.312702,
1.3060902,
1.2905841,
1.2750197,
1.2553619,
1.1858509,
0.9813094,
0.5102902,
-0.4664321
],
[
1.3120959,
1.3063769,
1.2936379,
1.2753137,
1.255308,
1.1852691,
0.9814015,
0.5115905,
-0.4675496
],
[
1.3150714,
1.307696,
1.2918098,
1.275017,
1.2669798,
1.1834975,
0.9807609,
0.5096405,
-0.4644797
],
[
1.3236038,
1.3136024,
1.3004462,
1.283443,
1.2520584,
1.1981276,
0.9922149,
0.5114651,
-0.4634667
]
]
},
"related_pin": "A",
"rise_power,power_outputs_2": {
"index_1": [
0.02,
0.0347,
0.05204,
0.07806,
0.1171,
0.17564,
0.26344,
0.39514,
0.59268,
0.88898,
1.3334,
2,
3,
4,
5
],
"index_2": [
0.0005,
0.000999433,
0.00199773,
0.0039932,
0.00798188,
0.0159547,
0.0318914,
0.0637466,
0.127421
],
"values": [
[
1.2713046,
1.2777604,
1.2935881,
1.328684,
1.395989,
1.5220327,
1.7646264,
2.234238,
3.1765506
],
[
1.2706454,
1.2767616,
1.2926164,
1.3277101,
1.3949976,
1.5205145,
1.764284,
2.2357949,
3.1748439
],
[
1.2707233,
1.276867,
1.2928496,
1.3277732,
1.3950029,
1.5204445,
1.7643852,
2.2360048,
3.1745949
],
[
1.2731622,
1.2798166,
1.2951933,
1.3298005,
1.3977608,
1.5255721,
1.7670429,
2.2395584,
3.1794888
],
[
1.2702861,
1.2774287,
1.2926596,
1.3276686,
1.3947474,
1.5209432,
1.7642938,
2.2374316,
3.1764684
],
[
1.2707942,
1.2777982,
1.293022,
1.3271539,
1.395042,
1.5233305,
1.7640709,
2.2387378,
3.1773386
],
[
1.2702548,
1.2777016,
1.2931841,
1.3276596,
1.3949595,
1.5228342,
1.7619751,
2.2330189,
3.1821204
],
[
1.2746815,
1.2818859,
1.2966018,
1.3315109,
1.3982041,
1.5267897,
1.7673711,
2.2393318,
3.1808386
],
[
1.266174,
1.2735901,
1.2879739,
1.3234006,
1.3900763,
1.5165966,
1.7617027,
2.2317658,
3.1738644
],
[
1.2639074,
1.2710681,
1.2858538,
1.3221735,
1.3900252,
1.5170117,
1.7561317,
2.2238617,
3.1637618
],
[
1.2593833,
1.2658943,
1.2814826,
1.3167767,
1.3839823,
1.5117446,
1.7528336,
2.2240528,
3.1735083
],
[
1.2583366,
1.2641717,
1.280287,
1.3150449,
1.3823151,
1.5076113,
1.7518787,
2.2235631,
3.1603351
],
[
1.2650705,
1.279574,
1.2893045,
1.3234904,
1.3908858,
1.5159652,
1.7600612,
2.2357899,
3.1687387
],
[
1.2549177,
1.2617692,
1.2770838,
1.3107513,
1.3798712,
1.5081334,
1.750346,
2.218967,
3.1579559
],
[
1.2544257,
1.2613291,
1.2762403,
1.3115303,
1.3796393,
1.5074462,
1.7412041,
2.2247898,
3.1515066
]
]
}
},
"max_capacitance": 0.127421,
"max_transition": 0.711694,
"power_down_function": "(!LVPWR+!VPWR+VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": {
"cell_fall,del_1_15_9": {
"index_1": [
0.02,
0.0347,
0.05204,
0.07806,
0.1171,
0.17564,
0.26344,
0.39514,
0.59268,
0.88898,
1.3334,
2.0,
3.0,
4.0,
5.0
],
"index_2": [
0.0005,
0.0009994,
0.0019977,
0.0039932,
0.0079819,
0.0159547,
0.0318914,
0.0637466,
0.127421
],
"values": [
[
1.5701374,
1.573765,
1.5802374,
1.5912596,
1.6103099,
1.6453044,
1.7125614,
1.8453307,
2.1123165
],
[
1.5776559,
1.581281,
1.5877679,
1.5987881,
1.6178415,
1.6528519,
1.7200861,
1.8529082,
2.1198385
],
[
1.5857914,
1.5894146,
1.5959141,
1.606937,
1.6264491,
1.6609903,
1.728536,
1.8612527,
2.128433
],
[
1.5941407,
1.5977646,
1.6042615,
1.6152845,
1.6347899,
1.6693227,
1.7368743,
1.8696515,
2.1367501
],
[
1.6161523,
1.6197745,
1.6262527,
1.6372947,
1.6563825,
1.6911135,
1.758604,
1.8911721,
2.1582471
],
[
1.6496882,
1.6533167,
1.6597858,
1.6708089,
1.6898578,
1.7248465,
1.7921162,
1.9248747,
2.1918645
],
[
1.7008893,
1.7045111,
1.7109888,
1.722031,
1.7411189,
1.775848,
1.8433252,
1.9758968,
2.242988
],
[
1.7971847,
1.8008353,
1.8072289,
1.8183054,
1.837303,
1.8718911,
1.9394468,
2.0718742,
2.3388735
],
[
1.9250368,
1.9286657,
1.9351349,
1.9461586,
1.9652073,
2.0001942,
2.0674653,
2.2002171,
2.467204
],
[
2.1148867,
2.1185131,
2.1249962,
2.1360176,
2.1550699,
2.1900757,
2.2573157,
2.3901354,
2.6570688
],
[
2.4022293,
2.4058782,
2.4122715,
2.423348,
2.4423465,
2.47697,
2.5444966,
2.6769169,
2.9439129
],
[
2.8251983,
2.8288154,
2.835314,
2.8463321,
2.8653846,
2.9003677,
2.9678366,
3.1003671,
3.3673668
],
[
3.4796204,
3.4832355,
3.4897352,
3.500753,
3.5198062,
3.5547896,
3.622258,
3.7547894,
4.0217918
],
[
4.1324459,
4.1361132,
4.1424455,
4.1535424,
4.1726298,
4.2075472,
4.2751829,
4.4076387,
4.6745949
],
[
4.7871813,
4.7907927,
4.7972177,
4.8082942,
4.8270374,
4.8621103,
4.929507,
5.0619375,
5.3288529
]
]
},
"cell_rise,del_1_15_9": {
"index_1": [
0.02,
0.0347,
0.05204,
0.07806,
0.1171,
0.17564,
0.26344,
0.39514,
0.59268,
0.88898,
1.3334,
2.0,
3.0,
4.0,
5.0
],
"index_2": [
0.0005,
0.0009994,
0.0019977,
0.0039932,
0.0079819,
0.0159547,
0.0318914,
0.0637466,
0.127421
],
"values": [
[
1.9387414,
1.9419741,
1.9474073,
1.9567989,
1.9730531,
2.0028561,
2.0641273,
2.1862887,
2.4324944
],
[
1.9467812,
1.949845,
1.9552426,
1.9646211,
1.9809392,
2.0110167,
2.0719797,
2.1944622,
2.4405025
],
[
1.9564022,
1.9594568,
1.9649304,
1.974267,
1.9905728,
2.0206168,
2.0816158,
2.2040698,
2.4501383
],
[
1.9739972,
1.9770756,
1.9824615,
1.9918263,
2.0081379,
2.0387205,
2.0991975,
2.2215538,
2.4680884
],
[
1.9923634,
1.9954174,
2.0008919,
2.01023,
2.0265318,
2.0565529,
2.117579,
2.239811,
2.4861029
],
[
2.0274145,
2.0304429,
2.0358071,
2.0450073,
2.0613267,
2.0919715,
2.1526796,
2.27518,
2.5214213
],
[
2.0831425,
2.0861926,
2.0915534,
2.1007986,
2.1170066,
2.1475111,
2.2084488,
2.3308993,
2.5768061
],
[
2.1587092,
2.1618072,
2.1671764,
2.1763935,
2.1925153,
2.2232509,
2.2838358,
2.405977,
2.6528539
],
[
2.2700155,
2.2731172,
2.278538,
2.2878073,
2.3040621,
2.3342652,
2.3950846,
2.5172964,
2.7636533
],
[
2.4404843,
2.4435118,
2.4488782,
2.458088,
2.474418,
2.5051063,
2.5657587,
2.6882336,
2.9342502
],
[
2.6650762,
2.6681242,
2.6735307,
2.6829414,
2.6992029,
2.7297724,
2.7901785,
2.9125744,
3.1587364
],
[
2.9952985,
2.998356,
3.0038463,
3.0131997,
3.0295024,
3.0594898,
3.1205614,
3.2429559,
3.489051
],
[
3.418501,
3.4216083,
3.4270262,
3.4363656,
3.4526551,
3.4826337,
3.5437124,
3.6661336,
3.9122376
],
[
3.8173473,
3.8204813,
3.8258676,
3.8352344,
3.8515524,
3.8821595,
3.9426413,
4.0649435,
4.3112216
],
[
4.206727,
4.2097601,
4.2153383,
4.2245691,
4.2409396,
4.2715511,
4.3319881,
4.4543329,
4.7005222
]
]
},
"fall_transition,del_1_15_9": {
"index_1": [
0.02,
0.0347,
0.05204,
0.07806,
0.1171,
0.17564,
0.26344,
0.39514,
0.59268,
0.88898,
1.3334,
2.0,
3.0,
4.0,
5.0
],
"index_2": [
0.0005,
0.0009994,
0.0019977,
0.0039932,
0.0079819,
0.0159547,
0.0318914,
0.0637466,
0.127421
],
"values": [
[
0.0381313,
0.0409639,
0.0470789,
0.056203,
0.0742555,
0.1122002,
0.1914955,
0.3551598,
0.6917702
],
[
0.03812,
0.0410724,
0.047151,
0.0562205,
0.0743454,
0.1122478,
0.1914326,
0.3550298,
0.691779
],
[
0.0382535,
0.0411427,
0.0473001,
0.0562769,
0.0744706,
0.1124201,
0.1916761,
0.3554325,
0.6915261
],
[
0.0382541,
0.0411328,
0.0472916,
0.0562787,
0.0744495,
0.112422,
0.1916736,
0.3552648,
0.6923587
],
[
0.0386123,
0.0415955,
0.0468412,
0.0563321,
0.0746173,
0.1123382,
0.1916258,
0.3559465,
0.6921943
],
[
0.0381395,
0.040926,
0.0470202,
0.0561792,
0.0741855,
0.1121498,
0.1915417,
0.3551535,
0.6917577
],
[
0.0385987,
0.0415864,
0.046836,
0.0563307,
0.0746144,
0.1123474,
0.1916314,
0.3562627,
0.6924027
],
[
0.0385945,
0.0415959,
0.0469036,
0.0561536,
0.074661,
0.1127144,
0.1917509,
0.3551848,
0.6912045
],
[
0.0381455,
0.0409321,
0.0470107,
0.0561753,
0.0741714,
0.1121379,
0.1915491,
0.3551556,
0.6917572
],
[
0.0381294,
0.0410456,
0.0471368,
0.0562243,
0.0743243,
0.1122429,
0.1914599,
0.3550048,
0.6917762
],
[
0.0386028,
0.0416064,
0.0469062,
0.0561523,
0.0746699,
0.1127155,
0.1917513,
0.3551428,
0.6911232
],
[
0.0385167,
0.0413726,
0.0469579,
0.0560953,
0.074256,
0.1121652,
0.191317,
0.3551226,
0.6917555
],
[
0.0384306,
0.0413625,
0.0467191,
0.0560874,
0.0742542,
0.1121622,
0.1913181,
0.3551228,
0.6917566
],
[
0.0381204,
0.0413037,
0.0464567,
0.0557012,
0.0745165,
0.111979,
0.191466,
0.3550756,
0.6917459
],
[
0.0388932,
0.042062,
0.0469933,
0.0559154,
0.0747171,
0.1122978,
0.1917419,
0.3552447,
0.6924147
]
]
},
"related_pin": "A",
"rise_transition,del_1_15_9": {
"index_1": [
0.02,
0.0347,
0.05204,
0.07806,
0.1171,
0.17564,
0.26344,
0.39514,
0.59268,
0.88898,
1.3334,
2.0,
3.0,
4.0,
5.0
],
"index_2": [
0.0005,
0.0009994,
0.0019977,
0.0039932,
0.0079819,
0.0159547,
0.0318914,
0.0637466,
0.127421
],
"values": [
[
0.0299917,
0.0324387,
0.0368812,
0.0461378,
0.0646049,
0.1046314,
0.1889915,
0.3622642,
0.7099507
],
[
0.0301543,
0.0325421,
0.0371216,
0.0462118,
0.0645955,
0.1044569,
0.1887996,
0.3618641,
0.7109995
],
[
0.0301763,
0.0327621,
0.0368535,
0.04619,
0.0645999,
0.1044979,
0.188848,
0.3621121,
0.7109591
],
[
0.0299847,
0.032326,
0.0368883,
0.0460411,
0.0645554,
0.1044023,
0.1887317,
0.3623759,
0.7113303
],
[
0.030153,
0.0327825,
0.0368769,
0.046188,
0.064601,
0.1045203,
0.1888752,
0.3623489,
0.7109651
],
[
0.0299411,
0.0322872,
0.0367953,
0.0465156,
0.0647988,
0.1040688,
0.1890555,
0.3623076,
0.7101063
],
[
0.0299263,
0.0322688,
0.036764,
0.0460703,
0.0648843,
0.1045915,
0.189166,
0.3615207,
0.7116938
],
[
0.0299245,
0.0322865,
0.0367617,
0.0461565,
0.0649699,
0.1040526,
0.1890702,
0.3619103,
0.710945
],
[
0.0298729,
0.0322706,
0.0369804,
0.0460873,
0.0656714,
0.1043372,
0.189098,
0.362353,
0.7111702
],
[
0.0299813,
0.0323246,
0.0368614,
0.0464548,
0.0649057,
0.104063,
0.1890511,
0.36216,
0.7114207
],
[
0.029936,
0.0324371,
0.036992,
0.0461035,
0.0646223,
0.1044476,
0.1887769,
0.3620974,
0.7103558
],
[
0.030234,
0.0328274,
0.0369421,
0.0462273,
0.0646532,
0.1045952,
0.1889705,
0.3622972,
0.7106706
],
[
0.0298269,
0.0323101,
0.0369694,
0.0461408,
0.064623,
0.1045695,
0.1889434,
0.3622297,
0.7106076
],
[
0.0301434,
0.0325135,
0.0368964,
0.0462093,
0.0646393,
0.1044334,
0.189024,
0.3617908,
0.7101377
],
[
0.0300542,
0.03241,
0.0371101,
0.0461466,
0.0646084,
0.1044246,
0.1888741,
0.3622736,
0.71133
]
]
},
"timing_sense": "positive_unate",
"timing_type": "combinational"
}
}
}