Significant improvements to library sky130_fd_sc_hvl version 0.0.1.

This commit contains major improvements to all files by regenerating
from original data, improving consistency and automated cross checking
of data.

These improvements should drastically reduce customer confusion when
using the library and further reduce future possibility for human errors to
creep into designs.

Notable improvements include;

 * A large number of files have been regenerated from original source
   data including most liberty timing files and spice simulation models
   (compared to previous hand created versions).

 * Catalog and other library aggregations are now automatically
   generated from library contents (compared to previous hand created
   versions).

 * Significant improvements to documentation for all cells, including
   producing graphical representations, verified metadata and
   descriptions.

 * Names have been cross referenced between file types (such as
   simulation, layout, schematic and timing) and now verified to match.

 * Names have been improved to fix a standard format across all supported
   libraries and PDK contents.

 * Significant improvements to the contents of text files (like the
   verilog files) through improving consistent style that has been
   automatically checked.

 * Simplified verilog files for usage with open tools, including new
   black box stubs have been created.

 * Too many numerous other changes to list here.

Signed-off-by: Kevin Kelley <kevin.kelley@skywatertechnology.com>
diff --git a/README.rst b/README.rst
index fc80cb8..6b78cea 100644
--- a/README.rst
+++ b/README.rst
@@ -1,5 +1,5 @@
 :lib:`sky130_fd_sc_hvl` - SKY130 High Voltage Digital Standard Cells (SkyWater Provided)
 ========================================================================================
 
-Initial empty repository creation.
+Initial release of version (0, 0, 0).
 
diff --git a/cells/a21o/definition.json b/cells/a21o/definition.json
new file mode 100644
index 0000000..9d8a6c0
--- /dev/null
+++ b/cells/a21o/definition.json
@@ -0,0 +1,60 @@
+{
+ "description": "2-input AND into first input of 2-input OR.",
+ "equation": "X = ((A1 & A2) | B1)",
+ "file_prefix": "sky130_fd_sc_hvl__a21o",
+ "library": "sky130_fd_sc_hvl",
+ "name": "a21o",
+ "parameters": [],
+ "ports": [
+  [
+   "signal",
+   "X",
+   "output",
+   ""
+  ],
+  [
+   "signal",
+   "A1",
+   "input",
+   ""
+  ],
+  [
+   "signal",
+   "A2",
+   "input",
+   ""
+  ],
+  [
+   "signal",
+   "B1",
+   "input",
+   ""
+  ],
+  [
+   "power",
+   "VPWR",
+   "input",
+   "supply1"
+  ],
+  [
+   "power",
+   "VGND",
+   "input",
+   "supply0"
+  ],
+  [
+   "power",
+   "VPB",
+   "input",
+   "supply1"
+  ],
+  [
+   "power",
+   "VNB",
+   "input",
+   "supply0"
+  ]
+ ],
+ "type": "cell",
+ "verilog_name": "sky130_fd_sc_hvl__a21o"
+}
\ No newline at end of file
diff --git a/cells/a21o/sky130_fd_sc_hvl__a21o.behavioral.pp.v b/cells/a21o/sky130_fd_sc_hvl__a21o.behavioral.pp.v
new file mode 100644
index 0000000..50586d0
--- /dev/null
+++ b/cells/a21o/sky130_fd_sc_hvl__a21o.behavioral.pp.v
@@ -0,0 +1,74 @@
+/*
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+*/
+
+
+`ifndef SKY130_FD_SC_HVL__A21O_BEHAVIORAL_PP_V
+`define SKY130_FD_SC_HVL__A21O_BEHAVIORAL_PP_V
+
+/**
+ * a21o: 2-input AND into first input of 2-input OR.
+ *
+ *       X = ((A1 & A2) | B1)
+ *
+ * Verilog simulation functional model.
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+// Import user defined primitives.
+`include "../../models/udp_pwrgood_pp_pg/sky130_fd_sc_hvl__udp_pwrgood_pp_pg.v"
+
+`celldefine
+module sky130_fd_sc_hvl__a21o (
+    X   ,
+    A1  ,
+    A2  ,
+    B1  ,
+    VPWR,
+    VGND,
+    VPB ,
+    VNB
+);
+
+    // Module ports
+    output X   ;
+    input  A1  ;
+    input  A2  ;
+    input  B1  ;
+    input  VPWR;
+    input  VGND;
+    input  VPB ;
+    input  VNB ;
+
+    // Local signals
+    wire and0_out         ;
+    wire or0_out_X        ;
+    wire pwrgood_pp0_out_X;
+
+    //                                  Name         Output             Other arguments
+    and                                 and0        (and0_out         , A1, A2               );
+    or                                  or0         (or0_out_X        , and0_out, B1         );
+    sky130_fd_sc_hvl__udp_pwrgood_pp$PG pwrgood_pp0 (pwrgood_pp0_out_X, or0_out_X, VPWR, VGND);
+    buf                                 buf0        (X                , pwrgood_pp0_out_X    );
+
+endmodule
+`endcelldefine
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HVL__A21O_BEHAVIORAL_PP_V
\ No newline at end of file
diff --git a/cells/a21o/sky130_fd_sc_hvl__a21o.behavioral.v b/cells/a21o/sky130_fd_sc_hvl__a21o.behavioral.v
new file mode 100644
index 0000000..cf6db96
--- /dev/null
+++ b/cells/a21o/sky130_fd_sc_hvl__a21o.behavioral.v
@@ -0,0 +1,67 @@
+/*
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+*/
+
+
+`ifndef SKY130_FD_SC_HVL__A21O_BEHAVIORAL_V
+`define SKY130_FD_SC_HVL__A21O_BEHAVIORAL_V
+
+/**
+ * a21o: 2-input AND into first input of 2-input OR.
+ *
+ *       X = ((A1 & A2) | B1)
+ *
+ * Verilog simulation functional model.
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+`celldefine
+module sky130_fd_sc_hvl__a21o (
+    X ,
+    A1,
+    A2,
+    B1
+);
+
+    // Module ports
+    output X ;
+    input  A1;
+    input  A2;
+    input  B1;
+
+    // Module supplies
+    supply1 VPWR;
+    supply0 VGND;
+    supply1 VPB ;
+    supply0 VNB ;
+
+    // Local signals
+    wire and0_out ;
+    wire or0_out_X;
+
+    //  Name  Output     Other arguments
+    and and0 (and0_out , A1, A2         );
+    or  or0  (or0_out_X, and0_out, B1   );
+    buf buf0 (X        , or0_out_X      );
+
+endmodule
+`endcelldefine
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HVL__A21O_BEHAVIORAL_V
\ No newline at end of file
diff --git a/cells/a21o/sky130_fd_sc_hvl__a21o.blackbox.v b/cells/a21o/sky130_fd_sc_hvl__a21o.blackbox.v
new file mode 100644
index 0000000..b926c2c
--- /dev/null
+++ b/cells/a21o/sky130_fd_sc_hvl__a21o.blackbox.v
@@ -0,0 +1,57 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+
+`ifndef SKY130_FD_SC_HVL__A21O_BLACKBOX_V
+`define SKY130_FD_SC_HVL__A21O_BLACKBOX_V
+
+/**
+ * a21o: 2-input AND into first input of 2-input OR.
+ *
+ *       X = ((A1 & A2) | B1)
+ *
+ * Verilog stub definition (black box without power pins).
+ *
+ * WARNING: This file is autogenerated, do not modify directly!
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+(* blackbox *)
+module sky130_fd_sc_hvl__a21o (
+    X ,
+    A1,
+    A2,
+    B1
+);
+
+    output X ;
+    input  A1;
+    input  A2;
+    input  B1;
+
+    // Voltage supply signals
+    supply1 VPWR;
+    supply0 VGND;
+    supply1 VPB ;
+    supply0 VNB ;
+
+endmodule
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HVL__A21O_BLACKBOX_V
diff --git a/cells/a21o/sky130_fd_sc_hvl__a21o.functional.pp.v b/cells/a21o/sky130_fd_sc_hvl__a21o.functional.pp.v
new file mode 100644
index 0000000..4f8536d
--- /dev/null
+++ b/cells/a21o/sky130_fd_sc_hvl__a21o.functional.pp.v
@@ -0,0 +1,74 @@
+/*
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+*/
+
+
+`ifndef SKY130_FD_SC_HVL__A21O_FUNCTIONAL_PP_V
+`define SKY130_FD_SC_HVL__A21O_FUNCTIONAL_PP_V
+
+/**
+ * a21o: 2-input AND into first input of 2-input OR.
+ *
+ *       X = ((A1 & A2) | B1)
+ *
+ * Verilog simulation functional model.
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+// Import user defined primitives.
+`include "../../models/udp_pwrgood_pp_pg/sky130_fd_sc_hvl__udp_pwrgood_pp_pg.v"
+
+`celldefine
+module sky130_fd_sc_hvl__a21o (
+    X   ,
+    A1  ,
+    A2  ,
+    B1  ,
+    VPWR,
+    VGND,
+    VPB ,
+    VNB
+);
+
+    // Module ports
+    output X   ;
+    input  A1  ;
+    input  A2  ;
+    input  B1  ;
+    input  VPWR;
+    input  VGND;
+    input  VPB ;
+    input  VNB ;
+
+    // Local signals
+    wire and0_out         ;
+    wire or0_out_X        ;
+    wire pwrgood_pp0_out_X;
+
+    //                                  Name         Output             Other arguments
+    and                                 and0        (and0_out         , A1, A2               );
+    or                                  or0         (or0_out_X        , and0_out, B1         );
+    sky130_fd_sc_hvl__udp_pwrgood_pp$PG pwrgood_pp0 (pwrgood_pp0_out_X, or0_out_X, VPWR, VGND);
+    buf                                 buf0        (X                , pwrgood_pp0_out_X    );
+
+endmodule
+`endcelldefine
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HVL__A21O_FUNCTIONAL_PP_V
\ No newline at end of file
diff --git a/cells/a21o/sky130_fd_sc_hvl__a21o.functional.v b/cells/a21o/sky130_fd_sc_hvl__a21o.functional.v
new file mode 100644
index 0000000..1e26cc9
--- /dev/null
+++ b/cells/a21o/sky130_fd_sc_hvl__a21o.functional.v
@@ -0,0 +1,61 @@
+/*
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+*/
+
+
+`ifndef SKY130_FD_SC_HVL__A21O_FUNCTIONAL_V
+`define SKY130_FD_SC_HVL__A21O_FUNCTIONAL_V
+
+/**
+ * a21o: 2-input AND into first input of 2-input OR.
+ *
+ *       X = ((A1 & A2) | B1)
+ *
+ * Verilog simulation functional model.
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+`celldefine
+module sky130_fd_sc_hvl__a21o (
+    X ,
+    A1,
+    A2,
+    B1
+);
+
+    // Module ports
+    output X ;
+    input  A1;
+    input  A2;
+    input  B1;
+
+    // Local signals
+    wire and0_out ;
+    wire or0_out_X;
+
+    //  Name  Output     Other arguments
+    and and0 (and0_out , A1, A2         );
+    or  or0  (or0_out_X, and0_out, B1   );
+    buf buf0 (X        , or0_out_X      );
+
+endmodule
+`endcelldefine
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HVL__A21O_FUNCTIONAL_V
\ No newline at end of file
diff --git a/cells/a21o/sky130_fd_sc_hvl__a21o.json b/cells/a21o/sky130_fd_sc_hvl__a21o.json
new file mode 100644
index 0000000..5651186
--- /dev/null
+++ b/cells/a21o/sky130_fd_sc_hvl__a21o.json
@@ -0,0 +1,123 @@
+{
+  "creator": "Yosys 0.9+2406 (git sha1 46ed0db2, clang 7.0.1-8 -fPIC -Os)",
+  "modules": {
+    "sky130_fd_sc_hvl__a21o": {
+      "attributes": {
+        "top": 1,
+        "src": "./cells/a21o/sky130_fd_sc_hvl__a21o.functional.v:35.1-57.10"
+      },
+      "ports": {
+        "X": {
+          "direction": "output",
+          "bits": [ 2 ]
+        },
+        "A1": {
+          "direction": "input",
+          "bits": [ 3 ]
+        },
+        "A2": {
+          "direction": "input",
+          "bits": [ 4 ]
+        },
+        "B1": {
+          "direction": "input",
+          "bits": [ 5 ]
+        }
+      },
+      "cells": {
+        "$and$./cells/a21o/sky130_fd_sc_hvl__a21o.functional.v:53$1": {
+          "hide_name": 1,
+          "type": "$and",
+          "parameters": {
+            "A_SIGNED": 0,
+            "A_WIDTH": 1,
+            "B_SIGNED": 0,
+            "B_WIDTH": 1,
+            "Y_WIDTH": 1
+          },
+          "attributes": {
+            "src": "./cells/a21o/sky130_fd_sc_hvl__a21o.functional.v:53.9-53.42"
+          },
+          "port_directions": {
+            "A": "input",
+            "B": "input",
+            "Y": "output"
+          },
+          "connections": {
+            "A": [ 3 ],
+            "B": [ 4 ],
+            "Y": [ 6 ]
+          }
+        },
+        "$or$./cells/a21o/sky130_fd_sc_hvl__a21o.functional.v:54$2": {
+          "hide_name": 1,
+          "type": "$or",
+          "parameters": {
+            "A_SIGNED": 0,
+            "A_WIDTH": 1,
+            "B_SIGNED": 0,
+            "B_WIDTH": 1,
+            "Y_WIDTH": 1
+          },
+          "attributes": {
+            "src": "./cells/a21o/sky130_fd_sc_hvl__a21o.functional.v:54.9-54.42"
+          },
+          "port_directions": {
+            "A": "input",
+            "B": "input",
+            "Y": "output"
+          },
+          "connections": {
+            "A": [ 6 ],
+            "B": [ 5 ],
+            "Y": [ 2 ]
+          }
+        }
+      },
+      "netnames": {
+        "A1": {
+          "hide_name": 0,
+          "bits": [ 3 ],
+          "attributes": {
+            "src": "./cells/a21o/sky130_fd_sc_hvl__a21o.functional.v:44.12-44.14"
+          }
+        },
+        "A2": {
+          "hide_name": 0,
+          "bits": [ 4 ],
+          "attributes": {
+            "src": "./cells/a21o/sky130_fd_sc_hvl__a21o.functional.v:45.12-45.14"
+          }
+        },
+        "B1": {
+          "hide_name": 0,
+          "bits": [ 5 ],
+          "attributes": {
+            "src": "./cells/a21o/sky130_fd_sc_hvl__a21o.functional.v:46.12-46.14"
+          }
+        },
+        "X": {
+          "hide_name": 0,
+          "bits": [ 2 ],
+          "attributes": {
+            "src": "./cells/a21o/sky130_fd_sc_hvl__a21o.functional.v:43.12-43.13"
+          }
+        },
+        "and0_out": {
+          "hide_name": 0,
+          "bits": [ 6 ],
+          "attributes": {
+            "src": "./cells/a21o/sky130_fd_sc_hvl__a21o.functional.v:49.10-49.18"
+          }
+        },
+        "or0_out_X": {
+          "hide_name": 0,
+          "bits": [ 2 ],
+          "attributes": {
+            "src": "./cells/a21o/sky130_fd_sc_hvl__a21o.functional.v:50.10-50.19"
+          }
+        }
+      }
+    }
+  }
+}
diff --git a/cells/a21o/sky130_fd_sc_hvl__a21o.pp.blackbox.v b/cells/a21o/sky130_fd_sc_hvl__a21o.pp.blackbox.v
new file mode 100644
index 0000000..5662976
--- /dev/null
+++ b/cells/a21o/sky130_fd_sc_hvl__a21o.pp.blackbox.v
@@ -0,0 +1,58 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+
+`ifndef SKY130_FD_SC_HVL__A21O_PP_BLACKBOX_V
+`define SKY130_FD_SC_HVL__A21O_PP_BLACKBOX_V
+
+/**
+ * a21o: 2-input AND into first input of 2-input OR.
+ *
+ *       X = ((A1 & A2) | B1)
+ *
+ * Verilog stub definition (black box with power pins).
+ *
+ * WARNING: This file is autogenerated, do not modify directly!
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+(* blackbox *)
+module sky130_fd_sc_hvl__a21o (
+    X   ,
+    A1  ,
+    A2  ,
+    B1  ,
+    VPWR,
+    VGND,
+    VPB ,
+    VNB
+);
+
+    output X   ;
+    input  A1  ;
+    input  A2  ;
+    input  B1  ;
+    input  VPWR;
+    input  VGND;
+    input  VPB ;
+    input  VNB ;
+endmodule
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HVL__A21O_PP_BLACKBOX_V
diff --git a/cells/a21o/sky130_fd_sc_hvl__a21o.pp.symbol.svg b/cells/a21o/sky130_fd_sc_hvl__a21o.pp.symbol.svg
new file mode 100644
index 0000000..992ae0e
--- /dev/null
+++ b/cells/a21o/sky130_fd_sc_hvl__a21o.pp.symbol.svg
@@ -0,0 +1,67 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no"?>
+<!-- Created by Symbolator http://kevinpt.github.io/symbolator -->
+<svg xmlns="http://www.w3.org/2000/svg"
+xmlns:xlink="http://www.w3.org/1999/xlink"
+xml:space="preserve"
+width="191" height="295" viewBox="-25 -56 191.0 295.0" version="1.1">
+<style type="text/css">
+<![CDATA[
+.fnt1 { fill:#000000; font-family:Times; font-size:12pt; font-weight:normal; font-style:italic; }
+.fnt2 { fill:#000000; font-family:Helvetica; font-size:12pt; font-weight:normal; font-style:normal; }
+.fnt3 { fill:#000000; font-family:Helvetica; font-size:16pt; font-weight:bold; font-style:normal; }
+.fnt4 { fill:#000000; font-family:Helvetica; font-size:10pt; font-style:normal; }
+.label {fill:#000;
+  text-anchor:middle;
+  font-size:16pt; font-weight:bold; font-family:Sans;}
+.link {fill: #0D47A1;}
+.link:hover {fill: #0D47A1; text-decoration:underline;}
+.link:visited {fill: #4A148C;}
+]]>
+</style>
+<defs>
+
+</defs>
+<rect x="-25" y="-56" width="100%" height="100%" fill="white"/><g transform="translate(0,0)">
+<rect x="0" y="-21.0" width="140" height="105.0" stroke="#000000" fill="#CCFED2" stroke-width="1"/>
+<text class="fnt1" x="70.0" y="-5.0" text-anchor="middle" dy="5.5">Data Signals</text>
+<g transform="translate(0,19)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">A1</text>
+</g>
+<g transform="translate(0,41)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">A2</text>
+</g>
+<g transform="translate(0,63)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">B1</text>
+</g>
+<g transform="translate(140,19)">
+<line x1="20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="-10" y="0" text-anchor="end" dy="5.5">X</text>
+</g>
+</g>
+<g transform="translate(0,105.0)">
+<rect x="0" y="-21.0" width="140" height="127.0" stroke="#000000" fill="#FFBBBB" stroke-width="1"/>
+<text class="fnt1" x="70.0" y="-5.0" text-anchor="middle" dy="5.5">Power</text>
+<g transform="translate(0,19)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">VPB</text>
+</g>
+<g transform="translate(0,41)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">VPWR</text>
+</g>
+<g transform="translate(0,63)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">VGND</text>
+</g>
+<g transform="translate(0,85)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">VNB</text>
+</g>
+</g>
+<rect x="1.0" y="-20.0" width="138.0" height="230.0" stroke="#000000" fill="none" stroke-width="3"/>
+<text class="fnt3" x="70.0" y="-30.0" text-anchor="middle" dy="-1.0">a21o</text>
+<text class="fnt4" x="70.0" y="230.0" text-anchor="middle" dy="1.0">sky130_fd_sc_hvl</text>
+</svg>
\ No newline at end of file
diff --git a/cells/a21o/sky130_fd_sc_hvl__a21o.pp.symbol.v b/cells/a21o/sky130_fd_sc_hvl__a21o.pp.symbol.v
new file mode 100644
index 0000000..ce45293
--- /dev/null
+++ b/cells/a21o/sky130_fd_sc_hvl__a21o.pp.symbol.v
@@ -0,0 +1,53 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+
+`ifndef SKY130_FD_SC_HVL__A21O_PP_SYMBOL_V
+`define SKY130_FD_SC_HVL__A21O_PP_SYMBOL_V
+
+/**
+ * a21o: 2-input AND into first input of 2-input OR.
+ *
+ *       X = ((A1 & A2) | B1)
+ *
+ * Verilog stub (with power pins) for graphical symbol definition
+ * generation.
+ *
+ * WARNING: This file is autogenerated, do not modify directly!
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+(* blackbox *)
+module sky130_fd_sc_hvl__a21o (
+    //# {{data|Data Signals}}
+    input  A1  ,
+    input  A2  ,
+    input  B1  ,
+    output X   ,
+
+    //# {{power|Power}}
+    input  VPB ,
+    input  VPWR,
+    input  VGND,
+    input  VNB
+);
+endmodule
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HVL__A21O_PP_SYMBOL_V
diff --git a/cells/a21o/sky130_fd_sc_hvl__a21o.schematic.svg b/cells/a21o/sky130_fd_sc_hvl__a21o.schematic.svg
new file mode 100644
index 0000000..bb72754
--- /dev/null
+++ b/cells/a21o/sky130_fd_sc_hvl__a21o.schematic.svg
@@ -0,0 +1,74 @@
+<svg xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" xmlns:s="https://github.com/nturley/netlistsvg" width="249" height="129">
+  <style>svg {
+    stroke:#000;
+    fill:none;
+  }
+  text {
+    fill:#000;
+    stroke:none;
+    font-size:10px;
+    font-weight: bold;
+    font-family: "Courier New", monospace;
+  }
+  .nodelabel {
+    text-anchor: middle;
+  }
+  .inputPortLabel {
+    text-anchor: end;
+  }
+  .splitjoinBody {
+    fill:#000;
+  }</style>
+  <g s:type="and" transform="translate(77,27)" s:width="30" s:height="25" id="cell_$and$./cells/a21o/sky130_fd_sc_hvl__a21o.functional.v:53$1">
+    <s:alias val="$and"/>
+    <s:alias val="$logic_and"/>
+    <s:alias val="$_AND_"/>
+    <path d="M0,0 L0,25 L15,25 A15 12.5 0 0 0 15,0 Z" class="cell_$and$./cells/a21o/sky130_fd_sc_hvl__a21o.functional.v:53$1"/>
+    <g s:x="0" s:y="5" s:pid="A"/>
+    <g s:x="0" s:y="20" s:pid="B"/>
+    <g s:x="30" s:y="12.5" s:pid="Y"/>
+  </g>
+  <g s:type="or" transform="translate(142,34.5)" s:width="30" s:height="25" id="cell_$or$./cells/a21o/sky130_fd_sc_hvl__a21o.functional.v:54$2">
+    <s:alias val="$or"/>
+    <s:alias val="$logic_or"/>
+    <s:alias val="$_OR_"/>
+    <path d="M0,25 L0,25 L15,25 A15 12.5 0 0 0 15,0 L0,0" class="cell_$or$./cells/a21o/sky130_fd_sc_hvl__a21o.functional.v:54$2"/>
+    <path d="M0,0 A30 25 0 0 1 0,25" class="cell_$or$./cells/a21o/sky130_fd_sc_hvl__a21o.functional.v:54$2"/>
+    <g s:x="3" s:y="5" s:pid="A"/>
+    <g s:x="3" s:y="20" s:pid="B"/>
+    <g s:x="30" s:y="12.5" s:pid="Y"/>
+  </g>
+  <g s:type="outputExt" transform="translate(207,37)" s:width="30" s:height="20" id="cell_X">
+    <text x="15" y="-4" class="nodelabel cell_X" s:attribute="ref">X</text>
+    <s:alias val="$_outputExt_"/>
+    <path d="M30,0 L30,20 L15,20 L0,10 L15,0 Z" class="cell_X"/>
+    <g s:x="0" s:y="10" s:pid="A"/>
+  </g>
+  <g s:type="inputExt" transform="translate(12,22)" s:width="30" s:height="20" id="cell_A1">
+    <text x="15" y="-4" class="nodelabel cell_A1" s:attribute="ref">A1</text>
+    <s:alias val="$_inputExt_"/>
+    <path d="M0,0 L0,20 L15,20 L30,10 L15,0 Z" class="cell_A1"/>
+    <g s:x="28" s:y="10" s:pid="Y"/>
+  </g>
+  <g s:type="inputExt" transform="translate(12,87)" s:width="30" s:height="20" id="cell_A2">
+    <text x="15" y="-4" class="nodelabel cell_A2" s:attribute="ref">A2</text>
+    <s:alias val="$_inputExt_"/>
+    <path d="M0,0 L0,20 L15,20 L30,10 L15,0 Z" class="cell_A2"/>
+    <g s:x="28" s:y="10" s:pid="Y"/>
+  </g>
+  <g s:type="inputExt" transform="translate(77,97)" s:width="30" s:height="20" id="cell_B1">
+    <text x="15" y="-4" class="nodelabel cell_B1" s:attribute="ref">B1</text>
+    <s:alias val="$_inputExt_"/>
+    <path d="M0,0 L0,20 L15,20 L30,10 L15,0 Z" class="cell_B1"/>
+    <g s:x="28" s:y="10" s:pid="Y"/>
+  </g>
+  <line x1="40" x2="77" y1="32" y2="32" class="net_3"/>
+  <line x1="40" x2="52" y1="97" y2="97" class="net_4"/>
+  <line x1="52" x2="52" y1="97" y2="47" class="net_4"/>
+  <line x1="52" x2="77" y1="47" y2="47" class="net_4"/>
+  <line x1="107" x2="145" y1="39.5" y2="39.5" class="net_6"/>
+  <line x1="105" x2="117" y1="107" y2="107" class="net_5"/>
+  <line x1="117" x2="117" y1="107" y2="54.5" class="net_5"/>
+  <line x1="117" x2="145" y1="54.5" y2="54.5" class="net_5"/>
+  <line x1="172" x2="207" y1="47" y2="47" class="net_2"/>
+</svg>
diff --git a/cells/a21o/sky130_fd_sc_hvl__a21o.specify.v b/cells/a21o/sky130_fd_sc_hvl__a21o.specify.v
new file mode 100644
index 0000000..b2120f2
--- /dev/null
+++ b/cells/a21o/sky130_fd_sc_hvl__a21o.specify.v
@@ -0,0 +1,25 @@
+/*
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+*/
+
+specify
+(A1 +=> X) = (0:0:0,0:0:0);
+(A2 +=> X) = (0:0:0,0:0:0);
+if ((!A1&!A2)) (B1 +=> X) = (0:0:0,0:0:0);
+if ((!A1&A2)) (B1 +=> X) = (0:0:0,0:0:0);
+if ((A1&!A2)) (B1 +=> X) = (0:0:0,0:0:0);
+endspecify
\ No newline at end of file
diff --git a/cells/a21o/sky130_fd_sc_hvl__a21o.symbol.svg b/cells/a21o/sky130_fd_sc_hvl__a21o.symbol.svg
new file mode 100644
index 0000000..b5227c7
--- /dev/null
+++ b/cells/a21o/sky130_fd_sc_hvl__a21o.symbol.svg
@@ -0,0 +1,47 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no"?>
+<!-- Created by Symbolator http://kevinpt.github.io/symbolator -->
+<svg xmlns="http://www.w3.org/2000/svg"
+xmlns:xlink="http://www.w3.org/1999/xlink"
+xml:space="preserve"
+width="191" height="168" viewBox="-25 -56 191.0 168.0" version="1.1">
+<style type="text/css">
+<![CDATA[
+.fnt1 { fill:#000000; font-family:Times; font-size:12pt; font-weight:normal; font-style:italic; }
+.fnt2 { fill:#000000; font-family:Helvetica; font-size:12pt; font-weight:normal; font-style:normal; }
+.fnt3 { fill:#000000; font-family:Helvetica; font-size:16pt; font-weight:bold; font-style:normal; }
+.fnt4 { fill:#000000; font-family:Helvetica; font-size:10pt; font-style:normal; }
+.label {fill:#000;
+  text-anchor:middle;
+  font-size:16pt; font-weight:bold; font-family:Sans;}
+.link {fill: #0D47A1;}
+.link:hover {fill: #0D47A1; text-decoration:underline;}
+.link:visited {fill: #4A148C;}
+]]>
+</style>
+<defs>
+
+</defs>
+<rect x="-25" y="-56" width="100%" height="100%" fill="white"/><g transform="translate(0,0)">
+<rect x="0" y="-21.0" width="140" height="105.0" stroke="#000000" fill="#CCFED2" stroke-width="1"/>
+<text class="fnt1" x="70.0" y="-5.0" text-anchor="middle" dy="5.5">Data Signals</text>
+<g transform="translate(0,19)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">A1</text>
+</g>
+<g transform="translate(0,41)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">A2</text>
+</g>
+<g transform="translate(0,63)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">B1</text>
+</g>
+<g transform="translate(140,19)">
+<line x1="20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="-10" y="0" text-anchor="end" dy="5.5">X</text>
+</g>
+</g>
+<rect x="1.0" y="-20.0" width="138.0" height="103.0" stroke="#000000" fill="none" stroke-width="3"/>
+<text class="fnt3" x="70.0" y="-30.0" text-anchor="middle" dy="-1.0">a21o</text>
+<text class="fnt4" x="70.0" y="103.0" text-anchor="middle" dy="1.0">sky130_fd_sc_hvl</text>
+</svg>
\ No newline at end of file
diff --git a/cells/a21o/sky130_fd_sc_hvl__a21o.symbol.v b/cells/a21o/sky130_fd_sc_hvl__a21o.symbol.v
new file mode 100644
index 0000000..60dd83b
--- /dev/null
+++ b/cells/a21o/sky130_fd_sc_hvl__a21o.symbol.v
@@ -0,0 +1,54 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+
+`ifndef SKY130_FD_SC_HVL__A21O_SYMBOL_V
+`define SKY130_FD_SC_HVL__A21O_SYMBOL_V
+
+/**
+ * a21o: 2-input AND into first input of 2-input OR.
+ *
+ *       X = ((A1 & A2) | B1)
+ *
+ * Verilog stub (without power pins) for graphical symbol definition
+ * generation.
+ *
+ * WARNING: This file is autogenerated, do not modify directly!
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+(* blackbox *)
+module sky130_fd_sc_hvl__a21o (
+    //# {{data|Data Signals}}
+    input  A1,
+    input  A2,
+    input  B1,
+    output X
+);
+
+    // Voltage supply signals
+    supply1 VPWR;
+    supply0 VGND;
+    supply1 VPB ;
+    supply0 VNB ;
+
+endmodule
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HVL__A21O_SYMBOL_V
diff --git a/cells/a21o/sky130_fd_sc_hvl__a21o.tb.v b/cells/a21o/sky130_fd_sc_hvl__a21o.tb.v
new file mode 100644
index 0000000..0895931
--- /dev/null
+++ b/cells/a21o/sky130_fd_sc_hvl__a21o.tb.v
@@ -0,0 +1,104 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+
+`ifndef SKY130_FD_SC_HVL__A21O_TB_V
+`define SKY130_FD_SC_HVL__A21O_TB_V
+
+/**
+ * a21o: 2-input AND into first input of 2-input OR.
+ *
+ *       X = ((A1 & A2) | B1)
+ *
+ * Autogenerated test bench.
+ *
+ * WARNING: This file is autogenerated, do not modify directly!
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+`include "sky130_fd_sc_hvl__a21o.v"
+
+module top();
+
+    // Inputs are registered
+    reg A1;
+    reg A2;
+    reg B1;
+    reg VPWR;
+    reg VGND;
+    reg VPB;
+    reg VNB;
+
+    // Outputs are wires
+    wire X;
+
+    initial
+    begin
+        // Initial state is x for all inputs.
+        A1   = 1'bX;
+        A2   = 1'bX;
+        B1   = 1'bX;
+        VGND = 1'bX;
+        VNB  = 1'bX;
+        VPB  = 1'bX;
+        VPWR = 1'bX;
+
+        #20   A1   = 1'b0;
+        #40   A2   = 1'b0;
+        #60   B1   = 1'b0;
+        #80   VGND = 1'b0;
+        #100  VNB  = 1'b0;
+        #120  VPB  = 1'b0;
+        #140  VPWR = 1'b0;
+        #160  A1   = 1'b1;
+        #180  A2   = 1'b1;
+        #200  B1   = 1'b1;
+        #220  VGND = 1'b1;
+        #240  VNB  = 1'b1;
+        #260  VPB  = 1'b1;
+        #280  VPWR = 1'b1;
+        #300  A1   = 1'b0;
+        #320  A2   = 1'b0;
+        #340  B1   = 1'b0;
+        #360  VGND = 1'b0;
+        #380  VNB  = 1'b0;
+        #400  VPB  = 1'b0;
+        #420  VPWR = 1'b0;
+        #440  VPWR = 1'b1;
+        #460  VPB  = 1'b1;
+        #480  VNB  = 1'b1;
+        #500  VGND = 1'b1;
+        #520  B1   = 1'b1;
+        #540  A2   = 1'b1;
+        #560  A1   = 1'b1;
+        #580  VPWR = 1'bx;
+        #600  VPB  = 1'bx;
+        #620  VNB  = 1'bx;
+        #640  VGND = 1'bx;
+        #660  B1   = 1'bx;
+        #680  A2   = 1'bx;
+        #700  A1   = 1'bx;
+    end
+
+    sky130_fd_sc_hvl__a21o dut (.A1(A1), .A2(A2), .B1(B1), .VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB), .X(X));
+
+endmodule
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HVL__A21O_TB_V
diff --git a/cells/a21o/sky130_fd_sc_hvl__a21o.v b/cells/a21o/sky130_fd_sc_hvl__a21o.v
new file mode 100644
index 0000000..77ce603
--- /dev/null
+++ b/cells/a21o/sky130_fd_sc_hvl__a21o.v
@@ -0,0 +1,54 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+
+`ifndef SKY130_FD_SC_HVL__A21O_V
+`define SKY130_FD_SC_HVL__A21O_V
+
+/**
+ * a21o: 2-input AND into first input of 2-input OR.
+ *
+ *       X = ((A1 & A2) | B1)
+ *
+ * Verilog top module.
+ *
+ * WARNING: This file is autogenerated, do not modify directly!
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+`ifdef USE_POWER_PINS
+
+`ifdef FUNCTIONAL
+`include "sky130_fd_sc_hvl__a21o.pp.functional.v"
+`else  // FUNCTIONAL
+`include "sky130_fd_sc_hvl__a21o.pp.behavioral.v"
+`endif // FUNCTIONAL
+
+`else  // USE_POWER_PINS
+
+`ifdef FUNCTIONAL
+`include "sky130_fd_sc_hvl__a21o.functional.v"
+`else  // FUNCTIONAL
+`include "sky130_fd_sc_hvl__a21o.behavioral.v"
+`endif // FUNCTIONAL
+
+`endif // USE_POWER_PINS
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HVL__A21O_V
diff --git a/cells/a21o/sky130_fd_sc_hvl__a21o_1.cdl b/cells/a21o/sky130_fd_sc_hvl__a21o_1.cdl
new file mode 100644
index 0000000..4859dba
--- /dev/null
+++ b/cells/a21o/sky130_fd_sc_hvl__a21o_1.cdl
@@ -0,0 +1,35 @@
+* Copyright 2020 The SkyWater PDK Authors
+*
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+*
+* SPDX-License-Identifier: Apache-2.0
+
+.SUBCKT sky130_fd_sc_hvl__a21o_1 A1 A2 B1 VGND VNB VPB VPWR X
+*.PININFO A1:I A2:I B1:I VGND:I VNB:I VPB:I VPWR:I X:O
+MMPA0 pndA A1 VPB phv m=1 w=1.5 l=0.5 mult=1 sa=0.265 sb=0.265 sd=0.28
++ topography=normal area=0.063 perim=1.14
+MMPA1 pndA A2 VPB phv m=1 w=1.5 l=0.5 mult=1 sa=0.265 sb=0.265 sd=0.28
++ topography=normal area=0.063 perim=1.14
+MMPB0 y B1 VPB phv m=1 w=1.5 l=0.5 mult=1 sa=0.265 sb=0.265 sd=0.28
++ topography=normal area=0.063 perim=1.14
+MMIPX X y VPB phv m=1 w=1.5 l=0.5 mult=1 sa=0.265 sb=0.265 sd=0.28
++ topography=normal area=0.063 perim=1.14
+MMNA0 y A1 VNB nhv m=1 w=0.75 l=0.5 mult=1 sa=0.265 sb=0.265 sd=0.28
++ topography=normal area=0.063 perim=1.14
+MMNA1 sndA1 A2 VNB nhv m=1 w=0.75 l=0.5 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMNB0 y B1 VNB nhv m=1 w=0.75 l=0.5 mult=1 sa=0.265 sb=0.265 sd=0.28
++ topography=normal area=0.063 perim=1.14
+MMINX X y VNB nhv m=1 w=0.75 l=0.5 mult=1 sa=0.265 sb=0.265 sd=0.28
++ topography=normal area=0.063 perim=1.14
+.ENDS sky130_fd_sc_hvl__a21o_1
diff --git a/cells/a21o/sky130_fd_sc_hvl__a21o_1.gds b/cells/a21o/sky130_fd_sc_hvl__a21o_1.gds
new file mode 100644
index 0000000..decc409
--- /dev/null
+++ b/cells/a21o/sky130_fd_sc_hvl__a21o_1.gds
Binary files differ
diff --git a/cells/a21o/sky130_fd_sc_hvl__a21o_1.lef b/cells/a21o/sky130_fd_sc_hvl__a21o_1.lef
new file mode 100644
index 0000000..a0c6422
--- /dev/null
+++ b/cells/a21o/sky130_fd_sc_hvl__a21o_1.lef
@@ -0,0 +1,167 @@
+# Copyright 2020 The SkyWater PDK Authors
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#     https://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+# SPDX-License-Identifier: Apache-2.0
+
+VERSION 5.5 ;
+NAMESCASESENSITIVE ON ;
+BUSBITCHARS "[]" ;
+DIVIDERCHAR "/" ;
+MACRO sky130_fd_sc_hvl__a21o_1
+  CLASS CORE ;
+  SOURCE USER ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.320000 BY  4.070000 ;
+  SYMMETRY X Y ;
+  SITE unithv ;
+  PIN A1
+    ANTENNAGATEAREA  1.125000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.805000 1.505000 3.715000 1.835000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  1.125000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.895000 1.505000 4.195000 1.835000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  1.125000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.085000 1.505000 2.275000 1.750000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.611250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 0.495000 0.460000 1.325000 ;
+        RECT 0.110000 1.325000 0.360000 3.735000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 0.255000 4.320000 0.625000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.115000 4.320000 0.115000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 3.955000 4.320000 4.185000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 3.445000 4.320000 3.815000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.320000 0.085000 ;
+      RECT 0.000000  3.985000 4.320000 4.155000 ;
+      RECT 0.540000  2.280000 1.440000 3.755000 ;
+      RECT 0.565000  1.725000 0.895000 1.930000 ;
+      RECT 0.565000  1.930000 2.625000 2.100000 ;
+      RECT 0.640000  0.365000 2.250000 1.325000 ;
+      RECT 1.620000  2.100000 1.870000 3.755000 ;
+      RECT 2.320000  2.280000 4.210000 2.450000 ;
+      RECT 2.320000  2.450000 2.650000 3.755000 ;
+      RECT 2.430000  0.495000 2.680000 1.325000 ;
+      RECT 2.455000  1.325000 2.625000 1.930000 ;
+      RECT 2.830000  2.630000 3.780000 3.755000 ;
+      RECT 2.860000  0.365000 4.170000 1.325000 ;
+      RECT 3.960000  2.195000 4.210000 2.280000 ;
+      RECT 3.960000  2.450000 4.210000 3.735000 ;
+    LAYER mcon ;
+      RECT 0.155000 -0.085000 0.325000 0.085000 ;
+      RECT 0.155000 -0.085000 0.325000 0.085000 ;
+      RECT 0.155000  3.985000 0.325000 4.155000 ;
+      RECT 0.155000  3.985000 0.325000 4.155000 ;
+      RECT 0.545000  3.505000 0.715000 3.675000 ;
+      RECT 0.635000 -0.085000 0.805000 0.085000 ;
+      RECT 0.635000 -0.085000 0.805000 0.085000 ;
+      RECT 0.635000  3.985000 0.805000 4.155000 ;
+      RECT 0.635000  3.985000 0.805000 4.155000 ;
+      RECT 0.640000  0.395000 0.810000 0.565000 ;
+      RECT 0.905000  3.505000 1.075000 3.675000 ;
+      RECT 1.000000  0.395000 1.170000 0.565000 ;
+      RECT 1.115000 -0.085000 1.285000 0.085000 ;
+      RECT 1.115000 -0.085000 1.285000 0.085000 ;
+      RECT 1.115000  3.985000 1.285000 4.155000 ;
+      RECT 1.115000  3.985000 1.285000 4.155000 ;
+      RECT 1.265000  3.505000 1.435000 3.675000 ;
+      RECT 1.360000  0.395000 1.530000 0.565000 ;
+      RECT 1.595000 -0.085000 1.765000 0.085000 ;
+      RECT 1.595000 -0.085000 1.765000 0.085000 ;
+      RECT 1.595000  3.985000 1.765000 4.155000 ;
+      RECT 1.595000  3.985000 1.765000 4.155000 ;
+      RECT 1.720000  0.395000 1.890000 0.565000 ;
+      RECT 2.075000 -0.085000 2.245000 0.085000 ;
+      RECT 2.075000 -0.085000 2.245000 0.085000 ;
+      RECT 2.075000  3.985000 2.245000 4.155000 ;
+      RECT 2.075000  3.985000 2.245000 4.155000 ;
+      RECT 2.080000  0.395000 2.250000 0.565000 ;
+      RECT 2.555000 -0.085000 2.725000 0.085000 ;
+      RECT 2.555000 -0.085000 2.725000 0.085000 ;
+      RECT 2.555000  3.985000 2.725000 4.155000 ;
+      RECT 2.555000  3.985000 2.725000 4.155000 ;
+      RECT 2.860000  3.505000 3.030000 3.675000 ;
+      RECT 2.890000  0.395000 3.060000 0.565000 ;
+      RECT 3.035000 -0.085000 3.205000 0.085000 ;
+      RECT 3.035000 -0.085000 3.205000 0.085000 ;
+      RECT 3.035000  3.985000 3.205000 4.155000 ;
+      RECT 3.035000  3.985000 3.205000 4.155000 ;
+      RECT 3.220000  3.505000 3.390000 3.675000 ;
+      RECT 3.250000  0.395000 3.420000 0.565000 ;
+      RECT 3.515000 -0.085000 3.685000 0.085000 ;
+      RECT 3.515000 -0.085000 3.685000 0.085000 ;
+      RECT 3.515000  3.985000 3.685000 4.155000 ;
+      RECT 3.515000  3.985000 3.685000 4.155000 ;
+      RECT 3.580000  3.505000 3.750000 3.675000 ;
+      RECT 3.610000  0.395000 3.780000 0.565000 ;
+      RECT 3.970000  0.395000 4.140000 0.565000 ;
+      RECT 3.995000 -0.085000 4.165000 0.085000 ;
+      RECT 3.995000 -0.085000 4.165000 0.085000 ;
+      RECT 3.995000  3.985000 4.165000 4.155000 ;
+      RECT 3.995000  3.985000 4.165000 4.155000 ;
+  END
+END sky130_fd_sc_hvl__a21o_1
diff --git a/cells/a21o/sky130_fd_sc_hvl__a21o_1.magic.lef b/cells/a21o/sky130_fd_sc_hvl__a21o_1.magic.lef
new file mode 100644
index 0000000..24f8fb5
--- /dev/null
+++ b/cells/a21o/sky130_fd_sc_hvl__a21o_1.magic.lef
@@ -0,0 +1,161 @@
+# Copyright 2020 The SkyWater PDK Authors
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#     https://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+# SPDX-License-Identifier: Apache-2.0
+
+VERSION 5.7 ;
+  NAMESCASESENSITIVE ON ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+UNITS
+  DATABASE MICRONS 200 ;
+END UNITS
+MACRO sky130_fd_sc_hvl__a21o_1
+  CLASS CORE ;
+  SOURCE USER ;
+  FOREIGN sky130_fd_sc_hvl__a21o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.320000 BY  4.070000 ;
+  SYMMETRY X Y ;
+  SITE unithv ;
+  PIN A1
+    ANTENNAGATEAREA  1.125000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.805000 1.505000 3.715000 1.835000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  1.125000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.895000 1.505000 4.195000 1.835000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  1.125000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.085000 1.505000 2.275000 1.750000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.611250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 0.495000 0.460000 1.325000 ;
+        RECT 0.110000 1.325000 0.360000 3.735000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER li1 ;
+        RECT 0.640000 0.365000 2.250000 1.325000 ;
+        RECT 2.860000 0.365000 4.170000 1.325000 ;
+      LAYER mcon ;
+        RECT 0.640000 0.395000 0.810000 0.565000 ;
+        RECT 1.000000 0.395000 1.170000 0.565000 ;
+        RECT 1.360000 0.395000 1.530000 0.565000 ;
+        RECT 1.720000 0.395000 1.890000 0.565000 ;
+        RECT 2.080000 0.395000 2.250000 0.565000 ;
+        RECT 2.890000 0.395000 3.060000 0.565000 ;
+        RECT 3.250000 0.395000 3.420000 0.565000 ;
+        RECT 3.610000 0.395000 3.780000 0.565000 ;
+        RECT 3.970000 0.395000 4.140000 0.565000 ;
+      LAYER met1 ;
+        RECT 0.000000 0.255000 4.320000 0.625000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 -0.085000 4.320000 0.085000 ;
+      LAYER mcon ;
+        RECT 0.155000 -0.085000 0.325000 0.085000 ;
+        RECT 0.635000 -0.085000 0.805000 0.085000 ;
+        RECT 1.115000 -0.085000 1.285000 0.085000 ;
+        RECT 1.595000 -0.085000 1.765000 0.085000 ;
+        RECT 2.075000 -0.085000 2.245000 0.085000 ;
+        RECT 2.555000 -0.085000 2.725000 0.085000 ;
+        RECT 3.035000 -0.085000 3.205000 0.085000 ;
+        RECT 3.515000 -0.085000 3.685000 0.085000 ;
+        RECT 3.995000 -0.085000 4.165000 0.085000 ;
+      LAYER met1 ;
+        RECT 0.000000 -0.115000 4.320000 0.115000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 3.985000 4.320000 4.155000 ;
+      LAYER mcon ;
+        RECT 0.155000 3.985000 0.325000 4.155000 ;
+        RECT 0.635000 3.985000 0.805000 4.155000 ;
+        RECT 1.115000 3.985000 1.285000 4.155000 ;
+        RECT 1.595000 3.985000 1.765000 4.155000 ;
+        RECT 2.075000 3.985000 2.245000 4.155000 ;
+        RECT 2.555000 3.985000 2.725000 4.155000 ;
+        RECT 3.035000 3.985000 3.205000 4.155000 ;
+        RECT 3.515000 3.985000 3.685000 4.155000 ;
+        RECT 3.995000 3.985000 4.165000 4.155000 ;
+      LAYER met1 ;
+        RECT 0.000000 3.955000 4.320000 4.185000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 2.280000 1.440000 3.755000 ;
+        RECT 2.830000 2.630000 3.780000 3.755000 ;
+      LAYER mcon ;
+        RECT 0.545000 3.505000 0.715000 3.675000 ;
+        RECT 0.905000 3.505000 1.075000 3.675000 ;
+        RECT 1.265000 3.505000 1.435000 3.675000 ;
+        RECT 2.860000 3.505000 3.030000 3.675000 ;
+        RECT 3.220000 3.505000 3.390000 3.675000 ;
+        RECT 3.580000 3.505000 3.750000 3.675000 ;
+      LAYER met1 ;
+        RECT 0.000000 3.445000 4.320000 3.815000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.565000 1.725000 0.895000 1.930000 ;
+      RECT 0.565000 1.930000 2.625000 2.100000 ;
+      RECT 1.620000 2.100000 1.870000 3.755000 ;
+      RECT 2.320000 2.280000 4.210000 2.450000 ;
+      RECT 2.320000 2.450000 2.650000 3.755000 ;
+      RECT 2.430000 0.495000 2.680000 1.325000 ;
+      RECT 2.455000 1.325000 2.625000 1.930000 ;
+      RECT 3.960000 2.195000 4.210000 2.280000 ;
+      RECT 3.960000 2.450000 4.210000 3.735000 ;
+  END
+END sky130_fd_sc_hvl__a21o_1
diff --git a/cells/a21o/sky130_fd_sc_hvl__a21o_1.netlist.tsv b/cells/a21o/sky130_fd_sc_hvl__a21o_1.netlist.tsv
new file mode 100644
index 0000000..f51fb31
--- /dev/null
+++ b/cells/a21o/sky130_fd_sc_hvl__a21o_1.netlist.tsv
@@ -0,0 +1,10 @@
+sky130_fd_sc_hvl__a21o_1	A1:I A2:I B1:I VGND:I VNB:I VPB:I VPWR:I X:O

+Name	Formula	nd	ng	ns	nb	mname	m	w	l	mult	sa	sb	sd	topography	area	perim

+MMPA0	MOSFET	pndA	A1	VPWR	VPB	phv	1	1.5	0.5	1	0.265	0.265	0.28	normal	0.063	1.14

+MMPA1	MOSFET	pndA	A2	VPWR	VPB	phv	1	1.5	0.5	1	0.265	0.265	0.28	normal	0.063	1.14

+MMPB0	MOSFET	y	B1	pndA	VPB	phv	1	1.5	0.5	1	0.265	0.265	0.28	normal	0.063	1.14

+MMIPX	MOSFET	X	y	VPWR	VPB	phv	1	1.5	0.5	1	0.265	0.265	0.28	normal	0.063	1.14

+MMNA0	MOSFET	y	A1	sndA1	VNB	nhv	1	0.75	0.5	1	0.265	0.265	0.28	normal	0.063	1.14

+MMNA1	MOSFET	sndA1	A2	VGND	VNB	nhv	1	0.75	0.5	1	0.265	0.265	0.28	normal	0.063	1.14

+MMNB0	MOSFET	y	B1	VGND	VNB	nhv	1	0.75	0.5	1	0.265	0.265	0.28	normal	0.063	1.14

+MMINX	MOSFET	X	y	VGND	VNB	nhv	1	0.75	0.5	1	0.265	0.265	0.28	normal	0.063	1.14

diff --git a/cells/a21o/sky130_fd_sc_hvl__a21o_1.spice b/cells/a21o/sky130_fd_sc_hvl__a21o_1.spice
new file mode 100644
index 0000000..5fcc716
--- /dev/null
+++ b/cells/a21o/sky130_fd_sc_hvl__a21o_1.spice
@@ -0,0 +1,21 @@
+* NGSPICE file created from sky130_fd_sc_hvl__a21o_1.ext - technology: sky130A
+
+.subckt sky130_fd_sc_hvl__a21o_1 A1 A2 B1 VGND VNB VPB VPWR X
+M1000 a_83_283# B1 VGND VNB nhv w=750000u l=500000u
++  ad=2.1e+11p pd=2.06e+06u as=8.5875e+11p ps=5.29e+06u
+M1001 VGND a_83_283# X VNB nhv w=750000u l=500000u
++  ad=0p pd=0u as=2.1375e+11p ps=2.07e+06u
+M1002 VPWR a_83_283# X VPB phv w=1.5e+06u l=500000u
++  ad=8.475e+11p pd=7.13e+06u as=3.975e+11p ps=3.53e+06u
+M1003 VPWR A1 a_469_443# VPB phv w=1.5e+06u l=500000u
++  ad=0p pd=0u as=8.175e+11p ps=7.09e+06u
+M1004 a_469_443# B1 a_83_283# VPB phv w=1.5e+06u l=500000u
++  ad=0p pd=0u as=4.275e+11p ps=3.57e+06u
+M1005 a_469_443# A2 VPWR VPB phv w=1.5e+06u l=500000u
++  ad=0p pd=0u as=0p ps=0u
+M1006 a_631_107# A1 a_83_283# VNB nhv w=750000u l=500000u
++  ad=1.575e+11p pd=1.92e+06u as=0p ps=0u
+M1007 VGND A2 a_631_107# VNB nhv w=750000u l=500000u
++  ad=0p pd=0u as=0p ps=0u
+.ends
+
diff --git a/cells/a21o/sky130_fd_sc_hvl__a21o_1.svg b/cells/a21o/sky130_fd_sc_hvl__a21o_1.svg
new file mode 100644
index 0000000..6ff7aeb
--- /dev/null
+++ b/cells/a21o/sky130_fd_sc_hvl__a21o_1.svg
@@ -0,0 +1,221 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<svg xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" width="1898pt" height="1059pt" viewBox="0 0 1898 1059" version="1.2">
+<defs>
+<clipPath id="clip1">
+  <path d="M 18.5 2.5 L 1898 2.5 L 1898 1059 L 18.5 1059 Z M 18.5 2.5 "/>
+</clipPath>
+<clipPath id="clip2">
+  <path d="M 488.5 98.5 L 1436.5 98.5 L 1436.5 593.5 L 488.5 593.5 Z M 488.5 98.5 "/>
+</clipPath>
+<image id="image350" width="32" height="32" xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAAAAABWESUoAAAAAmJLR0QA/4ePzL8AAAApSURBVDiNY2Rg+M/AiAczMdAe/P+PH9PBDYyj4cDAwDAaDjAwGg4QAAAzhF/RgilCzQAAAABJRU5ErkJggg=="/>
+<pattern id="pattern0" patternUnits="userSpaceOnUse" width="32" height="32"  patternTransform="matrix(1,0,0,1,0.5,1079.5)">
+  <use xlink:href="#image350"/>
+</pattern>
+<mask id="mask0">
+<rect x="0" y="0" width="1898" height="1059" style="fill:url(#pattern0);stroke:none;"/>
+</mask>
+<clipPath id="clip3">
+  <path d="M 630.5 220.5 L 725.5 220.5 L 725.5 245.5 L 630.5 245.5 Z M 1199.5 220.5 L 1294.5 220.5 L 1294.5 245.5 L 1199.5 245.5 Z M 1050.5 220.5 L 1145.5 220.5 L 1145.5 245.5 L 1050.5 245.5 Z M 902.5 220.5 L 997.5 220.5 L 997.5 245.5 L 902.5 245.5 Z M 630.5 530.5 L 725.5 530.5 L 725.5 555.5 L 630.5 555.5 Z M 1199.5 530.5 L 1294.5 530.5 L 1294.5 555.5 L 1199.5 555.5 Z M 630.5 555.5 L 744.5 555.5 L 744.5 576.5 L 630.5 576.5 Z M 1050.5 530.5 L 1145.5 530.5 L 1145.5 587.5 L 1050.5 587.5 Z M 902.5 530.5 L 997.5 530.5 L 997.5 587.5 L 902.5 587.5 Z M 630.5 576.5 L 744.5 576.5 L 744.5 608.5 L 630.5 608.5 Z M 1050.5 587.5 L 1151.5 587.5 L 1151.5 618.5 L 1050.5 618.5 Z M 1191.5 555.5 L 1353.5 555.5 L 1353.5 618.5 L 1191.5 618.5 Z M 902.5 587.5 L 1003.5 587.5 L 1003.5 625.5 L 902.5 625.5 Z M 1050.5 618.5 L 1151.5 618.5 L 1151.5 650.5 L 1050.5 650.5 Z M 1191.5 618.5 L 1353.5 618.5 L 1353.5 650.5 L 1191.5 650.5 Z M 902.5 625.5 L 1003.5 625.5 L 1003.5 658.5 L 902.5 658.5 Z M 902.5 658.5 L 1003.5 658.5 L 1003.5 682.5 L 902.5 682.5 Z M 1050.5 650.5 L 1151.5 650.5 L 1151.5 682.5 L 1050.5 682.5 Z M 1191.5 650.5 L 1353.5 650.5 L 1353.5 682.5 L 1191.5 682.5 Z M 630.5 608.5 L 744.5 608.5 L 744.5 682.5 L 630.5 682.5 Z M 1056.5 682.5 L 1151.5 682.5 L 1151.5 707.5 L 1056.5 707.5 Z M 1191.5 682.5 L 1286.5 682.5 L 1286.5 707.5 L 1191.5 707.5 Z M 649.5 682.5 L 744.5 682.5 L 744.5 707.5 L 649.5 707.5 Z M 908.5 682.5 L 1003.5 682.5 L 1003.5 707.5 L 908.5 707.5 Z M 649.5 850.5 L 744.5 850.5 L 744.5 875.5 L 649.5 875.5 Z M 1191.5 850.5 L 1286.5 850.5 L 1286.5 875.5 L 1191.5 875.5 Z M 1056.5 850.5 L 1151.5 850.5 L 1151.5 875.5 L 1056.5 875.5 Z M 908.5 850.5 L 1003.5 850.5 L 1003.5 875.5 L 908.5 875.5 Z M 908.5 850.5 "/>
+</clipPath>
+<clipPath id="clip4">
+  <path d="M 594.5 707.5 L 649.5 707.5 L 649.5 715.5 L 594.5 715.5 Z M 744.5 707.5 L 908.5 707.5 L 908.5 715.5 L 744.5 715.5 Z M 1286.5 707.5 L 1340.5 707.5 L 1340.5 715.5 L 1286.5 715.5 Z M 1003.5 707.5 L 1056.5 707.5 L 1056.5 715.5 L 1003.5 715.5 Z M 1003.5 715.5 L 1056.5 715.5 L 1056.5 747.5 L 1003.5 747.5 Z M 1286.5 715.5 L 1340.5 715.5 L 1340.5 747.5 L 1286.5 747.5 Z M 594.5 715.5 L 649.5 715.5 L 649.5 747.5 L 594.5 747.5 Z M 744.5 715.5 L 908.5 715.5 L 908.5 747.5 L 744.5 747.5 Z M 1286.5 747.5 L 1340.5 747.5 L 1340.5 810.5 L 1286.5 810.5 Z M 1003.5 747.5 L 1056.5 747.5 L 1056.5 810.5 L 1003.5 810.5 Z M 594.5 747.5 L 649.5 747.5 L 649.5 810.5 L 594.5 810.5 Z M 744.5 747.5 L 908.5 747.5 L 908.5 810.5 L 744.5 810.5 Z M 1003.5 810.5 L 1056.5 810.5 L 1056.5 842.5 L 1003.5 842.5 Z M 744.5 810.5 L 908.5 810.5 L 908.5 842.5 L 744.5 842.5 Z M 594.5 810.5 L 649.5 810.5 L 649.5 842.5 L 594.5 842.5 Z M 1286.5 810.5 L 1340.5 810.5 L 1340.5 842.5 L 1286.5 842.5 Z M 1286.5 842.5 L 1340.5 842.5 L 1340.5 850.5 L 1286.5 850.5 Z M 744.5 842.5 L 908.5 842.5 L 908.5 850.5 L 744.5 850.5 Z M 594.5 842.5 L 649.5 842.5 L 649.5 850.5 L 594.5 850.5 Z M 1151.5 707.5 L 1191.5 707.5 L 1191.5 850.5 L 1151.5 850.5 Z M 1003.5 842.5 L 1056.5 842.5 L 1056.5 850.5 L 1003.5 850.5 Z M 1003.5 842.5 "/>
+</clipPath>
+<clipPath id="clip5">
+  <path d="M 551.5 161.5 L 1373.5 161.5 L 1373.5 193.5 L 551.5 193.5 Z M 551.5 161.5 "/>
+</clipPath>
+<image id="image351" width="32" height="32" xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAAAAABWESUoAAAAAmJLR0QA/4ePzL8AAAAwSURBVDiNY/j/n4EBH2ZiIAAY/xNQQNgEBob/DIx4MB3cwMKA1wWMo+EwGg4jMRwAehZNIEIrpyYAAAAASUVORK5CYII="/>
+<pattern id="pattern1" patternUnits="userSpaceOnUse" width="32" height="32"  patternTransform="matrix(1,0,0,1,0.5,1079.5)">
+  <use xlink:href="#image351"/>
+</pattern>
+<mask id="mask1">
+<rect x="0" y="0" width="1898" height="1059" style="fill:url(#pattern1);stroke:none;"/>
+</mask>
+<clipPath id="clip6">
+  <path d="M 551.5 161.5 L 1373.5 161.5 L 1373.5 193.5 L 551.5 193.5 Z M 594.5 707.5 L 1340.5 707.5 L 1340.5 715.5 L 594.5 715.5 Z M 594.5 715.5 L 1340.5 715.5 L 1340.5 747.5 L 594.5 747.5 Z M 594.5 747.5 L 1340.5 747.5 L 1340.5 810.5 L 594.5 810.5 Z M 594.5 810.5 L 1340.5 810.5 L 1340.5 842.5 L 594.5 842.5 Z M 594.5 842.5 L 1340.5 842.5 L 1340.5 850.5 L 594.5 850.5 Z M 594.5 842.5 "/>
+</clipPath>
+<image id="image352" width="32" height="32" xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAAAAABWESUoAAAAAmJLR0QA/4ePzL8AAAAmSURBVDiNY2BgYPjPAAHk0vQClLqT1jS9wED7czQciKPpBWjpDwArz0+xoXriqgAAAABJRU5ErkJggg=="/>
+<pattern id="pattern2" patternUnits="userSpaceOnUse" width="32" height="32"  patternTransform="matrix(1,0,0,1,0.5,1079.5)">
+  <use xlink:href="#image352"/>
+</pattern>
+<mask id="mask2">
+<rect x="0" y="0" width="1898" height="1059" style="fill:url(#pattern2);stroke:none;"/>
+</mask>
+<clipPath id="clip7">
+  <path d="M 848.5 245.5 L 902.5 245.5 L 902.5 252.5 L 848.5 252.5 Z M 725.5 245.5 L 779.5 245.5 L 779.5 252.5 L 725.5 252.5 Z M 997.5 245.5 L 1050.5 245.5 L 1050.5 252.5 L 997.5 252.5 Z M 1145.5 245.5 L 1199.5 245.5 L 1199.5 252.5 L 1145.5 252.5 Z M 579.5 245.5 L 630.5 245.5 L 630.5 256.5 L 579.5 256.5 Z M 1294.5 245.5 L 1344.5 245.5 L 1344.5 256.5 L 1294.5 256.5 Z M 848.5 252.5 L 902.5 252.5 L 902.5 285.5 L 848.5 285.5 Z M 997.5 252.5 L 1050.5 252.5 L 1050.5 285.5 L 997.5 285.5 Z M 725.5 252.5 L 779.5 252.5 L 779.5 285.5 L 725.5 285.5 Z M 1145.5 252.5 L 1199.5 252.5 L 1199.5 285.5 L 1145.5 285.5 Z M 1294.5 256.5 L 1344.5 256.5 L 1344.5 288.5 L 1294.5 288.5 Z M 579.5 256.5 L 630.5 256.5 L 630.5 288.5 L 579.5 288.5 Z M 997.5 285.5 L 1050.5 285.5 L 1050.5 329.5 L 997.5 329.5 Z M 725.5 285.5 L 779.5 285.5 L 779.5 329.5 L 725.5 329.5 Z M 848.5 285.5 L 902.5 285.5 L 902.5 331.5 L 848.5 331.5 Z M 579.5 288.5 L 630.5 288.5 L 630.5 332.5 L 579.5 332.5 Z M 1294.5 288.5 L 1344.5 288.5 L 1344.5 332.5 L 1294.5 332.5 Z M 1145.5 285.5 L 1199.5 285.5 L 1199.5 336.5 L 1145.5 336.5 Z M 725.5 329.5 L 779.5 329.5 L 779.5 362.5 L 725.5 362.5 Z M 997.5 329.5 L 1050.5 329.5 L 1050.5 362.5 L 997.5 362.5 Z M 848.5 331.5 L 902.5 331.5 L 902.5 364.5 L 848.5 364.5 Z M 1294.5 332.5 L 1344.5 332.5 L 1344.5 365.5 L 1294.5 365.5 Z M 579.5 332.5 L 630.5 332.5 L 630.5 365.5 L 579.5 365.5 Z M 1145.5 336.5 L 1199.5 336.5 L 1199.5 368.5 L 1145.5 368.5 Z M 997.5 362.5 L 1050.5 362.5 L 1050.5 408.5 L 997.5 408.5 Z M 725.5 362.5 L 779.5 362.5 L 779.5 408.5 L 725.5 408.5 Z M 1294.5 365.5 L 1344.5 365.5 L 1344.5 410.5 L 1294.5 410.5 Z M 579.5 365.5 L 630.5 365.5 L 630.5 410.5 L 579.5 410.5 Z M 848.5 364.5 L 902.5 364.5 L 902.5 411.5 L 848.5 411.5 Z M 1145.5 368.5 L 1199.5 368.5 L 1199.5 419.5 L 1145.5 419.5 Z M 725.5 408.5 L 779.5 408.5 L 779.5 441.5 L 725.5 441.5 Z M 997.5 408.5 L 1050.5 408.5 L 1050.5 441.5 L 997.5 441.5 Z M 579.5 410.5 L 630.5 410.5 L 630.5 443.5 L 579.5 443.5 Z M 1294.5 410.5 L 1344.5 410.5 L 1344.5 443.5 L 1294.5 443.5 Z M 848.5 411.5 L 902.5 411.5 L 902.5 444.5 L 848.5 444.5 Z M 1145.5 419.5 L 1199.5 419.5 L 1199.5 451.5 L 1145.5 451.5 Z M 997.5 441.5 L 1050.5 441.5 L 1050.5 485.5 L 997.5 485.5 Z M 725.5 441.5 L 779.5 441.5 L 779.5 485.5 L 725.5 485.5 Z M 1294.5 443.5 L 1344.5 443.5 L 1344.5 486.5 L 1294.5 486.5 Z M 579.5 443.5 L 630.5 443.5 L 630.5 486.5 L 579.5 486.5 Z M 848.5 444.5 L 902.5 444.5 L 902.5 490.5 L 848.5 490.5 Z M 997.5 485.5 L 1050.5 485.5 L 1050.5 518.5 L 997.5 518.5 Z M 725.5 485.5 L 779.5 485.5 L 779.5 518.5 L 725.5 518.5 Z M 1294.5 486.5 L 1344.5 486.5 L 1344.5 519.5 L 1294.5 519.5 Z M 579.5 486.5 L 630.5 486.5 L 630.5 519.5 L 579.5 519.5 Z M 848.5 490.5 L 902.5 490.5 L 902.5 522.5 L 848.5 522.5 Z M 725.5 518.5 L 779.5 518.5 L 779.5 530.5 L 725.5 530.5 Z M 1145.5 451.5 L 1199.5 451.5 L 1199.5 530.5 L 1145.5 530.5 Z M 1294.5 519.5 L 1344.5 519.5 L 1344.5 530.5 L 1294.5 530.5 Z M 579.5 519.5 L 630.5 519.5 L 630.5 530.5 L 579.5 530.5 Z M 997.5 518.5 L 1050.5 518.5 L 1050.5 530.5 L 997.5 530.5 Z M 848.5 522.5 L 902.5 522.5 L 902.5 530.5 L 848.5 530.5 Z M 848.5 522.5 "/>
+</clipPath>
+<clipPath id="clip8">
+  <path d="M 551.5 935.5 L 1373.5 935.5 L 1373.5 968.5 L 551.5 968.5 Z M 551.5 935.5 "/>
+</clipPath>
+<pattern id="pattern3" patternUnits="userSpaceOnUse" width="32" height="32"  patternTransform="matrix(1,0,0,1,0.5,1079.5)">
+  <use xlink:href="#image351"/>
+</pattern>
+<mask id="mask3">
+<rect x="0" y="0" width="1898" height="1059" style="fill:url(#pattern3);stroke:none;"/>
+</mask>
+<clipPath id="clip9">
+  <path d="M 1145.5 245.5 L 1199.5 245.5 L 1199.5 252.5 L 1145.5 252.5 Z M 997.5 245.5 L 1050.5 245.5 L 1050.5 252.5 L 997.5 252.5 Z M 848.5 245.5 L 902.5 245.5 L 902.5 252.5 L 848.5 252.5 Z M 725.5 245.5 L 779.5 245.5 L 779.5 252.5 L 725.5 252.5 Z M 1294.5 245.5 L 1344.5 245.5 L 1344.5 256.5 L 1294.5 256.5 Z M 579.5 245.5 L 630.5 245.5 L 630.5 256.5 L 579.5 256.5 Z M 1145.5 252.5 L 1199.5 252.5 L 1199.5 285.5 L 1145.5 285.5 Z M 997.5 252.5 L 1050.5 252.5 L 1050.5 285.5 L 997.5 285.5 Z M 725.5 252.5 L 779.5 252.5 L 779.5 285.5 L 725.5 285.5 Z M 848.5 252.5 L 902.5 252.5 L 902.5 285.5 L 848.5 285.5 Z M 579.5 256.5 L 630.5 256.5 L 630.5 288.5 L 579.5 288.5 Z M 1294.5 256.5 L 1344.5 256.5 L 1344.5 288.5 L 1294.5 288.5 Z M 725.5 285.5 L 779.5 285.5 L 779.5 329.5 L 725.5 329.5 Z M 997.5 285.5 L 1050.5 285.5 L 1050.5 329.5 L 997.5 329.5 Z M 848.5 285.5 L 902.5 285.5 L 902.5 331.5 L 848.5 331.5 Z M 579.5 288.5 L 630.5 288.5 L 630.5 332.5 L 579.5 332.5 Z M 1294.5 288.5 L 1344.5 288.5 L 1344.5 332.5 L 1294.5 332.5 Z M 1145.5 285.5 L 1199.5 285.5 L 1199.5 336.5 L 1145.5 336.5 Z M 725.5 329.5 L 779.5 329.5 L 779.5 362.5 L 725.5 362.5 Z M 997.5 329.5 L 1050.5 329.5 L 1050.5 362.5 L 997.5 362.5 Z M 848.5 331.5 L 902.5 331.5 L 902.5 364.5 L 848.5 364.5 Z M 1294.5 332.5 L 1344.5 332.5 L 1344.5 365.5 L 1294.5 365.5 Z M 579.5 332.5 L 630.5 332.5 L 630.5 365.5 L 579.5 365.5 Z M 1145.5 336.5 L 1199.5 336.5 L 1199.5 368.5 L 1145.5 368.5 Z M 997.5 362.5 L 1050.5 362.5 L 1050.5 408.5 L 997.5 408.5 Z M 725.5 362.5 L 779.5 362.5 L 779.5 408.5 L 725.5 408.5 Z M 1294.5 365.5 L 1344.5 365.5 L 1344.5 410.5 L 1294.5 410.5 Z M 579.5 365.5 L 630.5 365.5 L 630.5 410.5 L 579.5 410.5 Z M 848.5 364.5 L 902.5 364.5 L 902.5 411.5 L 848.5 411.5 Z M 1145.5 368.5 L 1199.5 368.5 L 1199.5 419.5 L 1145.5 419.5 Z M 725.5 408.5 L 779.5 408.5 L 779.5 441.5 L 725.5 441.5 Z M 997.5 408.5 L 1050.5 408.5 L 1050.5 441.5 L 997.5 441.5 Z M 1294.5 410.5 L 1344.5 410.5 L 1344.5 443.5 L 1294.5 443.5 Z M 579.5 410.5 L 630.5 410.5 L 630.5 443.5 L 579.5 443.5 Z M 848.5 411.5 L 902.5 411.5 L 902.5 444.5 L 848.5 444.5 Z M 1145.5 419.5 L 1199.5 419.5 L 1199.5 451.5 L 1145.5 451.5 Z M 725.5 441.5 L 779.5 441.5 L 779.5 485.5 L 725.5 485.5 Z M 997.5 441.5 L 1050.5 441.5 L 1050.5 485.5 L 997.5 485.5 Z M 1294.5 443.5 L 1344.5 443.5 L 1344.5 486.5 L 1294.5 486.5 Z M 579.5 443.5 L 630.5 443.5 L 630.5 486.5 L 579.5 486.5 Z M 848.5 444.5 L 902.5 444.5 L 902.5 490.5 L 848.5 490.5 Z M 725.5 485.5 L 779.5 485.5 L 779.5 518.5 L 725.5 518.5 Z M 997.5 485.5 L 1050.5 485.5 L 1050.5 518.5 L 997.5 518.5 Z M 1294.5 486.5 L 1344.5 486.5 L 1344.5 519.5 L 1294.5 519.5 Z M 579.5 486.5 L 630.5 486.5 L 630.5 519.5 L 579.5 519.5 Z M 848.5 490.5 L 902.5 490.5 L 902.5 522.5 L 848.5 522.5 Z M 725.5 518.5 L 779.5 518.5 L 779.5 530.5 L 725.5 530.5 Z M 579.5 519.5 L 630.5 519.5 L 630.5 530.5 L 579.5 530.5 Z M 848.5 522.5 L 902.5 522.5 L 902.5 530.5 L 848.5 530.5 Z M 997.5 518.5 L 1050.5 518.5 L 1050.5 530.5 L 997.5 530.5 Z M 1145.5 451.5 L 1199.5 451.5 L 1199.5 530.5 L 1145.5 530.5 Z M 1294.5 519.5 L 1344.5 519.5 L 1344.5 530.5 L 1294.5 530.5 Z M 551.5 935.5 L 1373.5 935.5 L 1373.5 968.5 L 551.5 968.5 Z M 551.5 935.5 "/>
+</clipPath>
+<image id="image353" width="32" height="32" xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAAAAABWESUoAAAAAmJLR0QA/4ePzL8AAAAqSURBVDiNY2BgYGD4zwABuGhiVNAWUMOF9FFBWzBYfDkaDsSqoC2guR8Ae39PscoLRjkAAAAASUVORK5CYII="/>
+<pattern id="pattern4" patternUnits="userSpaceOnUse" width="32" height="32"  patternTransform="matrix(1,0,0,1,0.5,1079.5)">
+  <use xlink:href="#image353"/>
+</pattern>
+<mask id="mask4">
+<rect x="0" y="0" width="1898" height="1059" style="fill:url(#pattern4);stroke:none;"/>
+</mask>
+<clipPath id="clip10">
+  <path d="M 1191.5 707.5 L 1286.5 707.5 L 1286.5 850.5 L 1191.5 850.5 Z M 649.5 707.5 L 744.5 707.5 L 744.5 850.5 L 649.5 850.5 Z M 908.5 707.5 L 1003.5 707.5 L 1003.5 850.5 L 908.5 850.5 Z M 1056.5 707.5 L 1151.5 707.5 L 1151.5 850.5 L 1056.5 850.5 Z M 1056.5 707.5 "/>
+</clipPath>
+<image id="image354" width="32" height="32" xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAAAAABWESUoAAAAAmJLR0QA/4ePzL8AAAAtSURBVDiNY/jPwMDAwMDwHxeNWwZK49E7eFQwwnzDiINmGA0HhtFwGA0HdBoAtQDvIRl1O+YAAAAASUVORK5CYII="/>
+<pattern id="pattern5" patternUnits="userSpaceOnUse" width="32" height="32"  patternTransform="matrix(1,0,0,1,0.5,1079.5)">
+  <use xlink:href="#image354"/>
+</pattern>
+<mask id="mask5">
+<rect x="0" y="0" width="1898" height="1059" style="fill:url(#pattern5);stroke:none;"/>
+</mask>
+<clipPath id="clip11">
+  <path d="M 1199.5 245.5 L 1294.5 245.5 L 1294.5 530.5 L 1199.5 530.5 Z M 630.5 245.5 L 725.5 245.5 L 725.5 530.5 L 630.5 530.5 Z M 902.5 245.5 L 997.5 245.5 L 997.5 530.5 L 902.5 530.5 Z M 1050.5 245.5 L 1145.5 245.5 L 1145.5 530.5 L 1050.5 530.5 Z M 1050.5 245.5 "/>
+</clipPath>
+<image id="image355" width="32" height="32" xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAAAAABWESUoAAAAAmJLR0QA/4ePzL8AAAAtSURBVDiNY/jPwMDAwMDwHxfNCKUZGHHQBPQPEZphNBxgHsSvbjQcIPQICQcAbQzvIWHO67YAAAAASUVORK5CYII="/>
+<pattern id="pattern6" patternUnits="userSpaceOnUse" width="32" height="32"  patternTransform="matrix(1,0,0,1,0.5,1079.5)">
+  <use xlink:href="#image355"/>
+</pattern>
+<mask id="mask6">
+<rect x="0" y="0" width="1898" height="1059" style="fill:url(#pattern6);stroke:none;"/>
+</mask>
+<clipPath id="clip12">
+  <path d="M 551.5 161.5 L 1373.5 161.5 L 1373.5 193.5 L 551.5 193.5 Z M 1089.5 237.5 L 1270.5 237.5 L 1270.5 252.5 L 1089.5 252.5 Z M 992.5 237.5 L 1055.5 237.5 L 1055.5 252.5 L 992.5 252.5 Z M 653.5 237.5 L 825.5 237.5 L 825.5 252.5 L 653.5 252.5 Z M 859.5 237.5 L 907.5 237.5 L 907.5 252.5 L 859.5 252.5 Z M 572.5 241.5 L 619.5 241.5 L 619.5 256.5 L 572.5 256.5 Z M 1304.5 241.5 L 1352.5 241.5 L 1352.5 256.5 L 1304.5 256.5 Z M 992.5 252.5 L 1055.5 252.5 L 1055.5 285.5 L 992.5 285.5 Z M 859.5 252.5 L 907.5 252.5 L 907.5 285.5 L 859.5 285.5 Z M 1089.5 252.5 L 1270.5 252.5 L 1270.5 285.5 L 1089.5 285.5 Z M 653.5 252.5 L 825.5 252.5 L 825.5 285.5 L 653.5 285.5 Z M 1304.5 256.5 L 1352.5 256.5 L 1352.5 288.5 L 1304.5 288.5 Z M 572.5 256.5 L 619.5 256.5 L 619.5 288.5 L 572.5 288.5 Z M 653.5 285.5 L 825.5 285.5 L 825.5 329.5 L 653.5 329.5 Z M 992.5 285.5 L 1055.5 285.5 L 1055.5 329.5 L 992.5 329.5 Z M 859.5 285.5 L 907.5 285.5 L 907.5 331.5 L 859.5 331.5 Z M 572.5 288.5 L 619.5 288.5 L 619.5 332.5 L 572.5 332.5 Z M 1304.5 288.5 L 1352.5 288.5 L 1352.5 332.5 L 1304.5 332.5 Z M 1089.5 285.5 L 1270.5 285.5 L 1270.5 336.5 L 1089.5 336.5 Z M 653.5 329.5 L 825.5 329.5 L 825.5 362.5 L 653.5 362.5 Z M 992.5 329.5 L 1055.5 329.5 L 1055.5 362.5 L 992.5 362.5 Z M 859.5 331.5 L 907.5 331.5 L 907.5 364.5 L 859.5 364.5 Z M 1304.5 332.5 L 1352.5 332.5 L 1352.5 365.5 L 1304.5 365.5 Z M 572.5 332.5 L 619.5 332.5 L 619.5 365.5 L 572.5 365.5 Z M 1089.5 336.5 L 1270.5 336.5 L 1270.5 368.5 L 1089.5 368.5 Z M 992.5 362.5 L 1055.5 362.5 L 1055.5 408.5 L 992.5 408.5 Z M 653.5 362.5 L 825.5 362.5 L 825.5 408.5 L 653.5 408.5 Z M 1304.5 365.5 L 1352.5 365.5 L 1352.5 410.5 L 1304.5 410.5 Z M 572.5 365.5 L 619.5 365.5 L 619.5 410.5 L 572.5 410.5 Z M 859.5 364.5 L 907.5 364.5 L 907.5 411.5 L 859.5 411.5 Z M 1089.5 368.5 L 1270.5 368.5 L 1270.5 419.5 L 1089.5 419.5 Z M 992.5 408.5 L 1055.5 408.5 L 1055.5 441.5 L 992.5 441.5 Z M 653.5 408.5 L 825.5 408.5 L 825.5 441.5 L 653.5 441.5 Z M 572.5 410.5 L 619.5 410.5 L 619.5 443.5 L 572.5 443.5 Z M 1304.5 410.5 L 1352.5 410.5 L 1352.5 443.5 L 1304.5 443.5 Z M 859.5 411.5 L 907.5 411.5 L 907.5 444.5 L 859.5 444.5 Z M 1089.5 419.5 L 1270.5 419.5 L 1270.5 451.5 L 1089.5 451.5 Z M 1304.5 443.5 L 1352.5 443.5 L 1352.5 485.5 L 1304.5 485.5 Z M 653.5 441.5 L 825.5 441.5 L 825.5 485.5 L 653.5 485.5 Z M 992.5 441.5 L 1055.5 441.5 L 1055.5 485.5 L 992.5 485.5 Z M 572.5 443.5 L 619.5 443.5 L 619.5 486.5 L 572.5 486.5 Z M 859.5 444.5 L 907.5 444.5 L 907.5 490.5 L 859.5 490.5 Z M 653.5 485.5 L 825.5 485.5 L 825.5 518.5 L 653.5 518.5 Z M 992.5 485.5 L 1352.5 485.5 L 1352.5 518.5 L 992.5 518.5 Z M 572.5 486.5 L 619.5 486.5 L 619.5 519.5 L 572.5 519.5 Z M 1304.5 518.5 L 1352.5 518.5 L 1352.5 519.5 L 1304.5 519.5 Z M 859.5 490.5 L 907.5 490.5 L 907.5 522.5 L 859.5 522.5 Z M 1304.5 519.5 L 1352.5 519.5 L 1352.5 534.5 L 1304.5 534.5 Z M 859.5 522.5 L 907.5 522.5 L 907.5 552.5 L 859.5 552.5 Z M 658.5 552.5 L 1050.5 552.5 L 1050.5 576.5 L 658.5 576.5 Z M 658.5 576.5 L 1050.5 576.5 L 1050.5 584.5 L 658.5 584.5 Z M 658.5 584.5 L 721.5 584.5 L 721.5 608.5 L 658.5 608.5 Z M 1292.5 602.5 L 1349.5 602.5 L 1349.5 618.5 L 1292.5 618.5 Z M 1084.5 602.5 L 1258.5 602.5 L 1258.5 618.5 L 1084.5 618.5 Z M 658.5 608.5 L 721.5 608.5 L 721.5 623.5 L 658.5 623.5 Z M 757.5 619.5 L 984.5 619.5 L 984.5 625.5 L 757.5 625.5 Z M 1292.5 618.5 L 1349.5 618.5 L 1349.5 650.5 L 1292.5 650.5 Z M 1084.5 618.5 L 1258.5 618.5 L 1258.5 650.5 L 1084.5 650.5 Z M 757.5 625.5 L 984.5 625.5 L 984.5 658.5 L 757.5 658.5 Z M 757.5 658.5 L 984.5 658.5 L 984.5 665.5 L 757.5 665.5 Z M 1084.5 650.5 L 1258.5 650.5 L 1258.5 665.5 L 1084.5 665.5 Z M 1292.5 650.5 L 1349.5 650.5 L 1349.5 665.5 L 1292.5 665.5 Z M 1018.5 584.5 L 1050.5 584.5 L 1050.5 699.5 L 1018.5 699.5 Z M 572.5 519.5 L 619.5 519.5 L 619.5 699.5 L 572.5 699.5 Z M 1095.5 699.5 L 1344.5 699.5 L 1344.5 715.5 L 1095.5 715.5 Z M 672.5 699.5 L 979.5 699.5 L 979.5 715.5 L 672.5 715.5 Z M 1013.5 699.5 L 1061.5 699.5 L 1061.5 715.5 L 1013.5 715.5 Z M 572.5 699.5 L 638.5 699.5 L 638.5 715.5 L 572.5 715.5 Z M 672.5 715.5 L 979.5 715.5 L 979.5 747.5 L 672.5 747.5 Z M 1013.5 715.5 L 1061.5 715.5 L 1061.5 747.5 L 1013.5 747.5 Z M 1095.5 715.5 L 1344.5 715.5 L 1344.5 747.5 L 1095.5 747.5 Z M 572.5 715.5 L 638.5 715.5 L 638.5 747.5 L 572.5 747.5 Z M 672.5 747.5 L 979.5 747.5 L 979.5 810.5 L 672.5 810.5 Z M 1013.5 747.5 L 1061.5 747.5 L 1061.5 810.5 L 1013.5 810.5 Z M 1095.5 747.5 L 1344.5 747.5 L 1344.5 810.5 L 1095.5 810.5 Z M 572.5 747.5 L 638.5 747.5 L 638.5 810.5 L 572.5 810.5 Z M 672.5 810.5 L 979.5 810.5 L 979.5 842.5 L 672.5 842.5 Z M 1013.5 810.5 L 1061.5 810.5 L 1061.5 842.5 L 1013.5 842.5 Z M 572.5 810.5 L 638.5 810.5 L 638.5 842.5 L 572.5 842.5 Z M 1095.5 810.5 L 1344.5 810.5 L 1344.5 842.5 L 1095.5 842.5 Z M 672.5 842.5 L 979.5 842.5 L 979.5 844.5 L 672.5 844.5 Z M 1095.5 842.5 L 1344.5 842.5 L 1344.5 844.5 L 1095.5 844.5 Z M 572.5 842.5 L 638.5 842.5 L 638.5 857.5 L 572.5 857.5 Z M 1013.5 842.5 L 1061.5 842.5 L 1061.5 857.5 L 1013.5 857.5 Z M 672.5 844.5 L 979.5 844.5 L 979.5 876.5 L 672.5 876.5 Z M 1095.5 844.5 L 1344.5 844.5 L 1344.5 876.5 L 1095.5 876.5 Z M 1095.5 876.5 L 1344.5 876.5 L 1344.5 882.5 L 1095.5 882.5 Z M 672.5 876.5 L 979.5 876.5 L 979.5 882.5 L 672.5 882.5 Z M 551.5 935.5 L 1373.5 935.5 L 1373.5 968.5 L 551.5 968.5 Z M 551.5 935.5 "/>
+</clipPath>
+<clipPath id="clip13">
+  <path d="M 551.5 155.5 L 1373.5 155.5 L 1373.5 161.5 L 551.5 161.5 Z M 551.5 161.5 L 1373.5 161.5 L 1373.5 193.5 L 551.5 193.5 Z M 551.5 193.5 L 1373.5 193.5 L 1373.5 199.5 L 551.5 199.5 Z M 551.5 226.5 L 1373.5 226.5 L 1373.5 252.5 L 551.5 252.5 Z M 551.5 252.5 L 1373.5 252.5 L 1373.5 285.5 L 551.5 285.5 Z M 551.5 285.5 L 1373.5 285.5 L 1373.5 296.5 L 551.5 296.5 Z M 551.5 833.5 L 1373.5 833.5 L 1373.5 844.5 L 551.5 844.5 Z M 551.5 844.5 L 1373.5 844.5 L 1373.5 876.5 L 551.5 876.5 Z M 551.5 876.5 L 1373.5 876.5 L 1373.5 903.5 L 551.5 903.5 Z M 551.5 930.5 L 1373.5 930.5 L 1373.5 935.5 L 551.5 935.5 Z M 551.5 935.5 L 1373.5 935.5 L 1373.5 968.5 L 551.5 968.5 Z M 551.5 968.5 L 1373.5 968.5 L 1373.5 974.5 L 551.5 974.5 Z M 551.5 968.5 "/>
+</clipPath>
+<clipPath id="clip14">
+  <path d="M 580.5 161.5 L 613.5 161.5 L 613.5 193.5 L 580.5 193.5 Z M 1311.5 161.5 L 1343.5 161.5 L 1343.5 193.5 L 1311.5 193.5 Z M 1220.5 161.5 L 1252.5 161.5 L 1252.5 193.5 L 1220.5 193.5 Z M 1128.5 161.5 L 1161.5 161.5 L 1161.5 193.5 L 1128.5 193.5 Z M 1037.5 161.5 L 1069.5 161.5 L 1069.5 193.5 L 1037.5 193.5 Z M 946.5 161.5 L 978.5 161.5 L 978.5 193.5 L 946.5 193.5 Z M 854.5 161.5 L 887.5 161.5 L 887.5 193.5 L 854.5 193.5 Z M 763.5 161.5 L 795.5 161.5 L 795.5 193.5 L 763.5 193.5 Z M 672.5 161.5 L 704.5 161.5 L 704.5 193.5 L 672.5 193.5 Z M 654.5 252.5 L 687.5 252.5 L 687.5 285.5 L 654.5 285.5 Z M 1232.5 252.5 L 1264.5 252.5 L 1264.5 285.5 L 1232.5 285.5 Z M 1163.5 252.5 L 1196.5 252.5 L 1196.5 285.5 L 1163.5 285.5 Z M 1095.5 252.5 L 1127.5 252.5 L 1127.5 285.5 L 1095.5 285.5 Z M 791.5 252.5 L 824.5 252.5 L 824.5 285.5 L 791.5 285.5 Z M 723.5 252.5 L 755.5 252.5 L 755.5 285.5 L 723.5 285.5 Z M 672.5 844.5 L 705.5 844.5 L 705.5 876.5 L 672.5 876.5 Z M 1306.5 844.5 L 1339.5 844.5 L 1339.5 876.5 L 1306.5 876.5 Z M 1238.5 844.5 L 1270.5 844.5 L 1270.5 876.5 L 1238.5 876.5 Z M 1169.5 844.5 L 1202.5 844.5 L 1202.5 876.5 L 1169.5 876.5 Z M 1101.5 844.5 L 1133.5 844.5 L 1133.5 876.5 L 1101.5 876.5 Z M 947.5 844.5 L 979.5 844.5 L 979.5 876.5 L 947.5 876.5 Z M 878.5 844.5 L 910.5 844.5 L 910.5 876.5 L 878.5 876.5 Z M 809.5 844.5 L 842.5 844.5 L 842.5 876.5 L 809.5 876.5 Z M 741.5 844.5 L 773.5 844.5 L 773.5 876.5 L 741.5 876.5 Z M 580.5 935.5 L 613.5 935.5 L 613.5 968.5 L 580.5 968.5 Z M 1311.5 935.5 L 1343.5 935.5 L 1343.5 968.5 L 1311.5 968.5 Z M 1220.5 935.5 L 1252.5 935.5 L 1252.5 968.5 L 1220.5 968.5 Z M 1128.5 935.5 L 1161.5 935.5 L 1161.5 968.5 L 1128.5 968.5 Z M 1037.5 935.5 L 1069.5 935.5 L 1069.5 968.5 L 1037.5 968.5 Z M 946.5 935.5 L 978.5 935.5 L 978.5 968.5 L 946.5 968.5 Z M 854.5 935.5 L 887.5 935.5 L 887.5 968.5 L 854.5 968.5 Z M 763.5 935.5 L 795.5 935.5 L 795.5 968.5 L 763.5 968.5 Z M 672.5 935.5 L 704.5 935.5 L 704.5 968.5 L 672.5 968.5 Z M 672.5 935.5 "/>
+</clipPath>
+<image id="image356" width="32" height="32" xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAAAAABWESUoAAAAAmJLR0QA/4ePzL8AAAA9SURBVDiN7ZAhEgAgDMNS/v/nIsAgtnpuMzG7axsIJ9xTYEDUBJ/nkqGDQ48FulEFQ36uMR7o94+Hl3942JrBc/FcUmODAAAAAElFTkSuQmCC"/>
+<pattern id="pattern7" patternUnits="userSpaceOnUse" width="32" height="32"  patternTransform="matrix(1,0,0,1,0.5,1079.5)">
+  <use xlink:href="#image356"/>
+</pattern>
+<mask id="mask7">
+<rect x="0" y="0" width="1898" height="1059" style="fill:url(#pattern7);stroke:none;"/>
+</mask>
+</defs>
+<g id="surface347">
+<g clip-path="url(#clip1)" clip-rule="nonzero">
+
+</g>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,87.058824%,0%);stroke-opacity:0.496063;stroke-miterlimit:10;" d="M 488 981 L 1436 981 M 488 486 L 1436 486 M 488 486 L 488 981 M 1436 486 L 1436 981 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<g clip-path="url(#clip2)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(0%,87.058824%,0%);fill-opacity:0.496063;stroke:none;" mask="url(#mask0)"/>
+</g>
+<g clip-path="url(#clip3)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(92.54902%,0%,0%);fill-opacity:0.944882;stroke:none;"/>
+</g>
+<g clip-path="url(#clip4)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(0%,87.058824%,0%);fill-opacity:0.944882;stroke:none;"/>
+</g>
+<g clip-path="url(#clip5)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(0%,87.058824%,0%);fill-opacity:0.944882;stroke:none;" mask="url(#mask1)"/>
+</g>
+<g clip-path="url(#clip6)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(64.313728%,0%,0%);fill-opacity:0.496063;stroke:none;" mask="url(#mask2)"/>
+</g>
+<g clip-path="url(#clip7)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(78.431374%,45.490199%,10.196079%);fill-opacity:0.944882;stroke:none;"/>
+</g>
+<g clip-path="url(#clip8)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(78.431374%,45.490199%,10.196079%);fill-opacity:0.944882;stroke:none;" mask="url(#mask3)"/>
+</g>
+<g clip-path="url(#clip9)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(52.549022%,22.745104%,0%);fill-opacity:0.496063;stroke:none;" mask="url(#mask4)"/>
+</g>
+<g clip-path="url(#clip10)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(52.549022%,22.745104%,0%);fill-opacity:0.944882;stroke:none;"/>
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(0%,87.058824%,0%);fill-opacity:0.944882;stroke:none;" mask="url(#mask5)"/>
+</g>
+<g clip-path="url(#clip11)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(64.313728%,0%,0%);fill-opacity:0.944882;stroke:none;"/>
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(78.431374%,45.490199%,10.196079%);fill-opacity:0.944882;stroke:none;" mask="url(#mask6)"/>
+</g>
+<g clip-path="url(#clip12)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(18.039216%,50.196081%,100%);fill-opacity:0.629921;stroke:none;"/>
+</g>
+<g clip-path="url(#clip13)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(69.01961%,40.000004%,94.117647%);fill-opacity:0.629921;stroke:none;"/>
+</g>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,37.647063%,100%);stroke-opacity:0.944882;stroke-miterlimit:10;" d="M 580 918 L 613 918 M 580 886 L 613 886 M 580 886 L 580 918 M 613 886 L 613 918 M 672 918 L 704 918 M 672 886 L 704 886 M 672 886 L 672 918 M 704 886 L 704 918 M 763 918 L 795 918 M 763 886 L 795 886 M 763 886 L 763 918 M 795 886 L 795 918 M 854 918 L 887 918 M 854 886 L 887 886 M 854 886 L 854 918 M 887 886 L 887 918 M 946 918 L 978 918 M 946 886 L 978 886 M 946 886 L 946 918 M 978 886 L 978 918 M 1037 918 L 1069 918 M 1037 886 L 1069 886 M 1037 886 L 1037 918 M 1069 886 L 1069 918 M 1128 918 L 1161 918 M 1128 886 L 1161 886 M 1128 886 L 1128 918 M 1161 886 L 1161 918 M 1220 918 L 1252 918 M 1220 886 L 1252 886 M 1220 886 L 1220 918 M 1252 886 L 1252 918 M 1311 918 L 1343 918 M 1311 886 L 1343 886 M 1311 886 L 1311 918 M 1343 886 L 1343 918 M 654 827 L 687 827 M 654 794 L 687 794 M 654 794 L 654 827 M 687 794 L 687 827 M 723 827 L 735 827 M 723 794 L 735 794 M 723 794 L 723 827 M 735 794 L 735 827 M 735 827 L 755 827 M 735 794 L 755 794 M 735 794 L 735 827 M 755 794 L 755 827 M 791 827 L 824 827 M 791 794 L 824 794 M 791 794 L 791 827 M 824 794 L 824 827 M 1095 827 L 1127 827 M 1095 794 L 1127 794 M 1095 794 L 1095 827 M 1127 794 L 1127 827 M 1163 827 L 1188 827 M 1163 794 L 1188 794 M 1163 794 L 1163 827 M 1188 794 L 1188 827 M 1188 827 L 1196 827 M 1188 794 L 1196 794 M 1188 794 L 1188 827 M 1196 794 L 1196 827 M 1232 827 L 1264 827 M 1232 794 L 1264 794 M 1232 794 L 1232 827 M 1264 794 L 1264 827 M 672 235 L 705 235 M 672 203 L 705 203 M 672 203 L 672 235 M 705 203 L 705 235 M 741 235 L 773 235 M 741 203 L 773 203 M 741 203 L 741 235 M 773 203 L 773 235 M 809 235 L 842 235 M 809 203 L 842 203 M 809 203 L 809 235 M 842 203 L 842 235 M 878 235 L 910 235 M 878 203 L 910 203 M 878 203 L 878 235 M 910 203 L 910 235 M 947 235 L 979 235 M 947 203 L 979 203 M 947 203 L 947 235 M 979 203 L 979 235 M 1101 235 L 1133 235 M 1101 203 L 1133 203 M 1101 203 L 1101 235 M 1133 203 L 1133 235 M 1169 235 L 1202 235 M 1169 203 L 1202 203 M 1169 203 L 1169 235 M 1202 203 L 1202 235 M 1238 235 L 1270 235 M 1238 203 L 1270 203 M 1238 203 L 1238 235 M 1270 203 L 1270 235 M 1306 235 L 1339 235 M 1306 203 L 1339 203 M 1306 203 L 1306 235 M 1339 203 L 1339 235 M 580 144 L 613 144 M 580 111 L 613 111 M 580 111 L 580 144 M 613 111 L 613 144 M 672 144 L 704 144 M 672 111 L 704 111 M 672 111 L 672 144 M 704 111 L 704 144 M 763 144 L 795 144 M 763 111 L 795 111 M 763 111 L 763 144 M 795 111 L 795 144 M 854 144 L 887 144 M 854 111 L 887 111 M 854 111 L 854 144 M 887 111 L 887 144 M 946 144 L 978 144 M 946 111 L 978 111 M 946 111 L 946 144 M 978 111 L 978 144 M 1037 144 L 1069 144 M 1037 111 L 1069 111 M 1037 111 L 1037 144 M 1069 111 L 1069 144 M 1128 144 L 1161 144 M 1128 111 L 1161 111 M 1128 111 L 1128 144 M 1161 111 L 1161 144 M 1220 144 L 1252 144 M 1220 111 L 1252 111 M 1220 111 L 1220 144 M 1252 111 L 1252 144 M 1311 144 L 1343 144 M 1311 111 L 1343 111 M 1311 111 L 1311 144 M 1343 111 L 1343 144 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<g clip-path="url(#clip14)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(0%,37.647063%,100%);fill-opacity:0.944882;stroke:none;" mask="url(#mask7)"/>
+</g>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,0%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 580 918 L 613 918 M 580 886 L 613 886 M 580 886 L 580 918 M 613 886 L 613 918 M 672 918 L 704 918 M 672 886 L 704 886 M 672 886 L 672 918 M 704 886 L 704 918 M 763 918 L 795 918 M 763 886 L 795 886 M 763 886 L 763 918 M 795 886 L 795 918 M 854 918 L 887 918 M 854 886 L 887 886 M 854 886 L 854 918 M 887 886 L 887 918 M 946 918 L 978 918 M 946 886 L 978 886 M 946 886 L 946 918 M 978 886 L 978 918 M 1037 918 L 1069 918 M 1037 886 L 1069 886 M 1037 886 L 1037 918 M 1069 886 L 1069 918 M 1128 918 L 1161 918 M 1128 886 L 1161 886 M 1128 886 L 1128 918 M 1161 886 L 1161 918 M 1220 918 L 1252 918 M 1220 886 L 1252 886 M 1220 886 L 1220 918 M 1252 886 L 1252 918 M 1311 918 L 1343 918 M 1311 886 L 1343 886 M 1311 886 L 1311 918 M 1343 886 L 1343 918 M 587 823 L 619 823 M 587 791 L 619 791 M 587 791 L 587 823 M 619 791 L 619 823 M 587 747 L 619 747 M 587 714 L 619 714 M 587 714 L 587 747 M 619 714 L 619 747 M 587 669 L 619 669 M 587 636 L 619 636 M 587 636 L 587 669 M 619 636 L 619 669 M 587 593 L 619 593 M 587 560 L 619 560 M 587 560 L 587 593 M 619 560 L 619 593 M 735 827 L 768 827 M 735 794 L 768 794 M 735 794 L 735 827 M 768 794 L 768 827 M 735 750 L 768 750 M 735 717 L 768 717 M 735 717 L 735 750 M 768 717 L 768 750 M 735 671 L 768 671 M 735 638 L 768 638 M 735 638 L 735 671 M 768 638 L 768 671 M 735 594 L 768 594 M 735 561 L 768 561 M 735 561 L 735 594 M 768 561 L 768 594 M 859 827 L 891 827 M 859 794 L 891 794 M 859 794 L 859 827 M 891 794 L 891 827 M 859 748 L 891 748 M 859 715 L 891 715 M 859 715 L 859 748 M 891 715 L 891 748 M 859 668 L 891 668 M 859 635 L 891 635 M 859 635 L 859 668 M 891 635 L 891 668 M 859 589 L 891 589 M 859 557 L 891 557 M 859 557 L 859 589 M 891 557 L 891 589 M 1007 827 L 1040 827 M 1007 794 L 1040 794 M 1007 794 L 1007 827 M 1040 794 L 1040 827 M 1007 750 L 1040 750 M 1007 717 L 1040 717 M 1007 717 L 1007 750 M 1040 717 L 1040 750 M 1007 671 L 1040 671 M 1007 638 L 1040 638 M 1007 638 L 1007 671 M 1040 638 L 1040 671 M 1007 594 L 1040 594 M 1007 561 L 1040 561 M 1007 561 L 1007 594 M 1040 561 L 1040 594 M 1156 827 L 1188 827 M 1156 794 L 1188 794 M 1156 794 L 1156 827 M 1188 794 L 1188 827 M 1156 743 L 1188 743 M 1156 711 L 1188 711 M 1156 711 L 1156 743 M 1188 711 L 1188 743 M 1156 660 L 1188 660 M 1156 628 L 1188 628 M 1156 628 L 1156 660 M 1188 628 L 1188 660 M 1304 823 L 1337 823 M 1304 791 L 1337 791 M 1304 791 L 1304 823 M 1337 791 L 1337 823 M 1304 747 L 1337 747 M 1304 714 L 1337 714 M 1304 714 L 1304 747 M 1337 714 L 1337 747 M 1304 669 L 1337 669 M 1304 636 L 1337 636 M 1304 636 L 1304 669 M 1337 636 L 1337 669 M 1304 593 L 1337 593 M 1304 560 L 1337 560 M 1304 560 L 1304 593 M 1337 560 L 1337 593 M 673 503 L 706 503 M 673 471 L 706 471 M 673 471 L 673 503 M 706 471 L 706 503 M 921 454 L 953 454 M 921 421 L 953 421 M 921 421 L 921 454 M 953 421 L 953 454 M 1100 461 L 1132 461 M 1100 429 L 1132 429 M 1100 429 L 1100 461 M 1132 429 L 1132 461 M 1301 461 L 1334 461 M 1301 429 L 1334 429 M 1301 429 L 1301 461 M 1334 429 L 1334 461 M 606 364 L 638 364 M 606 332 L 638 332 M 606 332 L 606 364 M 638 332 L 638 364 M 606 269 L 638 269 M 606 237 L 638 237 M 606 237 L 606 269 M 638 237 L 638 269 M 754 364 L 787 364 M 754 332 L 787 332 M 754 332 L 754 364 M 787 332 L 787 364 M 754 269 L 787 269 M 754 237 L 787 237 M 754 237 L 754 269 M 787 237 L 787 269 M 1013 364 L 1045 364 M 1013 332 L 1045 332 M 1013 332 L 1013 364 M 1045 332 L 1045 364 M 1013 269 L 1045 269 M 1013 237 L 1045 237 M 1013 237 L 1013 269 M 1045 237 L 1045 269 M 1297 364 L 1329 364 M 1297 332 L 1329 332 M 1297 332 L 1297 364 M 1329 332 L 1329 364 M 1297 269 L 1329 269 M 1297 237 L 1329 237 M 1297 237 L 1297 269 M 1329 237 L 1329 269 M 580 144 L 613 144 M 580 111 L 613 111 M 580 111 L 580 144 M 613 111 L 613 144 M 672 144 L 704 144 M 672 111 L 704 111 M 672 111 L 672 144 M 704 111 L 704 144 M 763 144 L 795 144 M 763 111 L 795 111 M 763 111 L 763 144 M 795 111 L 795 144 M 854 144 L 887 144 M 854 111 L 887 111 M 854 111 L 854 144 M 887 111 L 887 144 M 946 144 L 978 144 M 946 111 L 978 111 M 946 111 L 946 144 M 978 111 L 978 144 M 1037 144 L 1069 144 M 1037 111 L 1069 111 M 1037 111 L 1037 144 M 1069 111 L 1069 144 M 1128 144 L 1161 144 M 1128 111 L 1161 111 M 1128 111 L 1128 144 M 1161 111 L 1161 144 M 1220 144 L 1252 144 M 1220 111 L 1252 111 M 1220 111 L 1220 144 M 1252 111 L 1252 144 M 1311 144 L 1343 144 M 1311 111 L 1343 111 M 1311 111 L 1311 144 M 1343 111 L 1343 144 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,0%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 580 886 L 613 918 M 580 918 L 613 886 M 672 886 L 704 918 M 672 918 L 704 886 M 763 886 L 795 918 M 763 918 L 795 886 M 854 886 L 887 918 M 854 918 L 887 886 M 946 886 L 978 918 M 946 918 L 978 886 M 1037 886 L 1069 918 M 1037 918 L 1069 886 M 1128 886 L 1161 918 M 1128 918 L 1161 886 M 1220 886 L 1252 918 M 1220 918 L 1252 886 M 1311 886 L 1343 918 M 1311 918 L 1343 886 M 587 791 L 619 823 M 587 823 L 619 791 M 587 714 L 619 747 M 587 747 L 619 714 M 587 636 L 619 669 M 587 669 L 619 636 M 587 560 L 619 593 M 587 593 L 619 560 M 735 794 L 768 827 M 735 827 L 768 794 M 735 717 L 768 750 M 735 750 L 768 717 M 735 638 L 768 671 M 735 671 L 768 638 M 735 561 L 768 594 M 735 594 L 768 561 M 859 794 L 891 827 M 859 827 L 891 794 M 859 715 L 891 748 M 859 748 L 891 715 M 859 635 L 891 668 M 859 668 L 891 635 M 859 557 L 891 589 M 859 589 L 891 557 M 1007 794 L 1040 827 M 1007 827 L 1040 794 M 1007 717 L 1040 750 M 1007 750 L 1040 717 M 1007 638 L 1040 671 M 1007 671 L 1040 638 M 1007 561 L 1040 594 M 1007 594 L 1040 561 M 1156 794 L 1188 827 M 1156 827 L 1188 794 M 1156 711 L 1188 743 M 1156 743 L 1188 711 M 1156 628 L 1188 660 M 1156 660 L 1188 628 M 1304 791 L 1337 823 M 1304 823 L 1337 791 M 1304 714 L 1337 747 M 1304 747 L 1337 714 M 1304 636 L 1337 669 M 1304 669 L 1337 636 M 1304 560 L 1337 593 M 1304 593 L 1337 560 M 673 471 L 706 503 M 673 503 L 706 471 M 921 421 L 953 454 M 921 454 L 953 421 M 1100 429 L 1132 461 M 1100 461 L 1132 429 M 1301 429 L 1334 461 M 1301 461 L 1334 429 M 606 332 L 638 364 M 606 364 L 638 332 M 606 237 L 638 269 M 606 269 L 638 237 M 754 332 L 787 364 M 754 364 L 787 332 M 754 237 L 787 269 M 754 269 L 787 237 M 1013 332 L 1045 364 M 1013 364 L 1045 332 M 1013 237 L 1045 269 M 1013 269 L 1045 237 M 1297 332 L 1329 364 M 1297 364 L 1329 332 M 1297 237 L 1329 269 M 1297 269 L 1329 237 M 580 111 L 613 144 M 580 144 L 613 111 M 672 111 L 704 144 M 672 144 L 704 111 M 763 111 L 795 144 M 763 144 L 795 111 M 854 111 L 887 144 M 854 144 L 887 111 M 946 111 L 978 144 M 946 144 L 978 111 M 1037 111 L 1069 144 M 1037 144 L 1069 111 M 1128 111 L 1161 144 M 1128 144 L 1161 111 M 1220 111 L 1252 144 M 1220 144 L 1252 111 M 1311 111 L 1343 144 M 1311 144 L 1343 111 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(100%,100%,0%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 551 124 L 551 129 M 548 127 L 553 127 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 907.492188 888.210938 L 901.882812 888.210938 L 887.183594 847.3125 L 892.792969 847.3125 L 904.796875 881.925781 L 916.132812 847.3125 L 921.683594 847.3125 Z M 907.492188 888.210938 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 930.550781 867.902344 L 930.605469 869.808594 L 930.773438 871.605469 L 931.109375 873.285156 L 931.558594 874.96875 L 932.175781 876.539062 L 932.960938 878 L 934.308594 880.074219 L 935.933594 881.757812 L 937.785156 883.105469 L 939.863281 884.058594 L 942.21875 884.675781 L 944.796875 884.898438 L 945.191406 884.898438 L 946.929688 884.84375 L 948.613281 884.5625 L 950.128906 884.058594 L 951.585938 883.441406 L 952.933594 882.597656 L 954.222656 881.535156 L 955.289062 880.410156 L 956.1875 879.175781 L 956.917969 877.773438 L 957.421875 876.316406 L 957.757812 874.746094 L 957.984375 873.0625 L 957.984375 872.5 L 958.039062 872.332031 L 958.039062 871.210938 L 945.585938 871.210938 L 945.585938 866.609375 L 962.640625 866.609375 L 962.640625 888.433594 L 959.328125 888.433594 L 958.039062 882.992188 L 956.242188 884.789062 L 954.335938 886.300781 L 952.371094 887.535156 L 950.296875 888.378906 L 948.164062 888.996094 L 945.976562 889.386719 L 945.304688 889.386719 L 945.023438 889.445312 L 944.351562 889.445312 L 944.070312 889.5 L 941.714844 889.332031 L 939.46875 888.9375 L 937.335938 888.320312 L 935.375 887.425781 L 933.523438 886.246094 L 931.785156 884.789062 L 930.210938 883.214844 L 928.867188 881.421875 L 927.742188 879.515625 L 926.847656 877.382812 L 926.117188 875.082031 L 925.667969 872.613281 L 925.554688 871.941406 L 925.445312 871.210938 L 925.386719 870.480469 L 925.332031 869.695312 L 925.332031 868.179688 L 925.386719 866.105469 L 925.613281 864.085938 L 925.949219 862.121094 L 926.511719 860.214844 L 927.125 858.363281 L 927.96875 856.511719 L 929.371094 854.15625 L 930.996094 852.082031 L 932.960938 850.339844 L 935.09375 848.9375 L 937.503906 847.875 L 940.199219 847.085938 L 940.929688 846.976562 L 941.714844 846.863281 L 942.5 846.808594 L 943.285156 846.695312 L 944.125 846.695312 L 944.96875 846.640625 L 947.660156 846.808594 L 950.183594 847.199219 L 952.484375 847.875 L 954.558594 848.828125 L 956.410156 850.0625 L 958.09375 851.519531 L 959.046875 852.640625 L 959.890625 853.875 L 960.621094 855.222656 L 961.234375 856.625 L 961.683594 858.140625 L 962.078125 859.710938 L 956.75 859.710938 L 956.300781 858.25 L 955.738281 856.90625 L 954.953125 855.671875 L 954.054688 854.605469 L 952.933594 853.652344 L 951.699219 852.808594 L 950.6875 852.359375 L 949.625 851.96875 L 948.5 851.632812 L 947.378906 851.464844 L 946.144531 851.296875 L 944.910156 851.238281 L 942.835938 851.40625 L 940.929688 851.742188 L 939.1875 852.304688 L 937.5625 853.148438 L 936.046875 854.210938 L 934.699219 855.503906 L 933.464844 857.074219 L 932.457031 858.8125 L 931.671875 860.71875 L 931.054688 862.796875 L 930.660156 865.039062 L 930.550781 867.453125 Z M 930.550781 867.902344 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1002.695312 847.3125 L 1002.695312 888.210938 L 996.804688 888.210938 L 975.652344 855.054688 L 975.652344 888.210938 L 970.71875 888.210938 L 970.71875 847.3125 L 976.382812 847.3125 L 997.757812 880.75 L 997.757812 847.3125 Z M 1002.695312 847.3125 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1011.894531 888.210938 L 1011.894531 847.3125 L 1027.660156 847.3125 L 1030.128906 847.480469 L 1032.429688 847.929688 L 1034.558594 848.714844 L 1036.523438 849.722656 L 1038.261719 851.125 L 1039.890625 852.753906 L 1041.238281 854.71875 L 1042.359375 856.847656 L 1043.199219 859.261719 L 1043.816406 861.84375 L 1044.152344 864.703125 L 1044.320312 867.734375 L 1044.152344 870.929688 L 1043.761719 873.902344 L 1043.085938 876.597656 L 1042.191406 879.066406 L 1041.011719 881.253906 L 1039.554688 883.160156 L 1037.984375 884.730469 L 1036.242188 885.964844 L 1034.335938 886.976562 L 1032.261719 887.648438 L 1030.015625 888.097656 L 1027.660156 888.210938 Z M 1017.113281 883.609375 L 1026.761719 883.609375 L 1029.34375 883.441406 L 1031.644531 882.824219 L 1033.664062 881.757812 L 1035.347656 880.355469 L 1036.691406 878.449219 L 1037.8125 876.148438 L 1038.152344 874.96875 L 1038.488281 873.734375 L 1038.769531 872.390625 L 1038.9375 870.929688 L 1039.046875 869.414062 L 1039.105469 867.789062 L 1038.878906 864.140625 L 1038.320312 860.945312 L 1037.308594 858.195312 L 1035.960938 856.007812 L 1034.222656 854.210938 L 1032.09375 852.921875 L 1031.304688 852.640625 L 1030.464844 852.359375 L 1028.667969 852.023438 L 1026.761719 851.914062 L 1017.113281 851.914062 Z M 1017.113281 883.609375 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 551 246 L 1373 246 M 551 176 L 1373 176 M 551 176 L 551 246 M 1373 176 L 1373 246 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 551 246 L 1373 246 M 551 176 L 1373 176 M 1373 246 L 1373 176 M 551 246 L 551 176 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 928.492188 961.5 L 922.882812 961.5 L 908.183594 920.601562 L 913.792969 920.601562 L 925.796875 955.214844 L 937.132812 920.601562 L 942.683594 920.601562 Z M 928.492188 961.5 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 980.105469 920.601562 L 980.105469 961.5 L 974.214844 961.5 L 953.0625 928.34375 L 953.0625 961.5 L 948.125 961.5 L 948.125 920.601562 L 953.792969 920.601562 L 975.167969 954.039062 L 975.167969 920.601562 Z M 980.105469 920.601562 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1019.261719 949.832031 L 1019.152344 951.625 L 1018.871094 953.253906 L 1018.367188 954.769531 L 1017.691406 956.113281 L 1016.792969 957.40625 L 1015.730469 958.527344 L 1014.550781 959.480469 L 1013.316406 960.210938 L 1011.914062 960.769531 L 1010.457031 961.21875 L 1008.882812 961.445312 L 1007.203125 961.5 L 988.742188 961.5 L 988.742188 920.601562 L 1005.351562 920.601562 L 1007.761719 920.769531 L 1009.894531 921.164062 L 1011.800781 921.835938 L 1013.429688 922.789062 L 1014.832031 924.023438 L 1015.953125 925.539062 L 1016.402344 926.378906 L 1016.792969 927.277344 L 1017.074219 928.121094 L 1017.300781 929.074219 L 1017.410156 930.027344 L 1017.46875 930.980469 L 1017.300781 933 L 1016.792969 934.738281 L 1016.007812 936.367188 L 1014.886719 937.714844 L 1013.484375 938.949219 L 1011.800781 939.902344 L 1013.429688 940.628906 L 1014.832031 941.414062 L 1016.066406 942.3125 L 1017.074219 943.324219 L 1017.859375 944.390625 L 1018.476562 945.511719 L 1018.703125 946.183594 L 1018.871094 946.859375 L 1019.039062 947.53125 L 1019.152344 948.316406 L 1019.207031 949.046875 Z M 1012.25 931.710938 L 1012.082031 930.027344 L 1011.578125 928.625 L 1010.792969 927.390625 L 1009.667969 926.492188 L 1008.265625 925.820312 L 1006.527344 925.371094 L 1006.136719 925.371094 L 1005.742188 925.316406 L 1005.351562 925.257812 L 1004.453125 925.257812 L 1004.058594 925.203125 L 993.960938 925.203125 L 993.960938 938.21875 L 1004.058594 938.21875 L 1006.136719 938.105469 L 1007.929688 937.769531 L 1009.445312 937.152344 L 1010.566406 936.3125 L 1011.464844 935.1875 L 1012.027344 933.84375 L 1012.082031 933.5625 L 1012.136719 933.226562 L 1012.195312 932.886719 L 1012.195312 932.101562 Z M 1006.695312 956.898438 L 1008.265625 956.84375 L 1009.667969 956.507812 L 1010.902344 955.945312 L 1011.914062 955.214844 L 1012.753906 954.261719 L 1013.429688 953.085938 L 1013.597656 952.636719 L 1013.707031 952.132812 L 1013.878906 951.570312 L 1013.933594 951.066406 L 1013.988281 950.503906 L 1014.046875 949.886719 L 1013.933594 948.652344 L 1013.707031 947.476562 L 1013.316406 946.464844 L 1012.753906 945.511719 L 1012.027344 944.726562 L 1011.183594 943.996094 L 1010.566406 943.660156 L 1009.894531 943.378906 L 1009.164062 943.15625 L 1008.378906 942.988281 L 1007.539062 942.875 L 1006.695312 942.820312 L 993.960938 942.820312 L 993.960938 956.898438 Z M 1006.695312 956.898438 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 551 149 L 1373 149 M 551 127 L 1373 127 M 551 127 L 551 149 M 1373 127 L 1373 149 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 551 149 L 1373 149 M 551 127 L 1373 127 M 1373 149 L 1373 127 M 551 149 L 551 127 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 902.492188 281.5 L 896.882812 281.5 L 882.183594 240.601562 L 887.792969 240.601562 L 899.796875 275.214844 L 911.132812 240.601562 L 916.683594 240.601562 Z M 902.492188 281.5 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 952.476562 252.609375 L 952.367188 254.402344 L 952.085938 256.03125 L 951.578125 257.542969 L 950.960938 258.890625 L 950.066406 260.125 L 949.054688 261.246094 L 947.933594 262.203125 L 946.753906 262.929688 L 945.464844 263.492188 L 944.0625 263.882812 L 942.605469 264.109375 L 941.03125 264.164062 L 928.1875 264.164062 L 928.1875 281.5 L 922.96875 281.5 L 922.96875 240.601562 L 939.855469 240.601562 L 942.265625 240.769531 L 944.457031 241.164062 L 946.363281 241.835938 L 948.046875 242.789062 L 949.449219 243.96875 L 950.625 245.425781 L 951.1875 246.492188 L 951.636719 247.558594 L 951.972656 248.679688 L 952.253906 249.914062 L 952.421875 251.261719 Z M 928.1875 259.566406 L 939.070312 259.566406 L 940.585938 259.507812 L 941.929688 259.226562 L 943.164062 258.835938 L 944.230469 258.21875 L 945.128906 257.433594 L 945.914062 256.480469 L 946.195312 255.917969 L 946.476562 255.246094 L 946.699219 254.628906 L 946.867188 253.898438 L 946.980469 253.167969 L 947.035156 252.382812 L 946.921875 251.039062 L 946.644531 249.804688 L 946.195312 248.738281 L 945.578125 247.785156 L 944.734375 246.996094 L 943.78125 246.324219 L 943.109375 246.042969 L 942.378906 245.765625 L 941.59375 245.539062 L 940.808594 245.371094 L 939.96875 245.257812 L 939.070312 245.203125 L 928.1875 245.203125 Z M 928.1875 259.566406 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 996.964844 281.5 L 991.242188 281.5 L 981.820312 247.894531 L 972.675781 281.5 L 966.953125 281.5 L 956.460938 240.601562 L 962.296875 240.601562 L 969.980469 273.8125 L 979.070312 240.601562 L 984.679688 240.601562 L 993.992188 273.8125 L 1001.511719 240.601562 L 1007.34375 240.601562 Z M 996.964844 281.5 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1038.199219 261.304688 L 1039.488281 262.03125 L 1040.667969 262.820312 L 1041.679688 263.714844 L 1042.464844 264.78125 L 1043.023438 265.902344 L 1043.472656 267.136719 L 1043.585938 267.8125 L 1043.699219 268.539062 L 1043.753906 269.382812 L 1043.808594 270.335938 L 1043.808594 272.578125 L 1043.753906 273.757812 L 1043.808594 274.769531 L 1043.867188 275.664062 L 1043.976562 276.449219 L 1044.089844 277.125 L 1044.316406 277.683594 L 1044.484375 278.246094 L 1044.765625 278.695312 L 1045.042969 279.144531 L 1045.378906 279.535156 L 1045.773438 279.929688 L 1046.222656 280.210938 L 1046.222656 281.5 L 1039.882812 281.5 L 1039.546875 280.601562 L 1039.265625 279.648438 L 1039.042969 278.527344 L 1038.875 277.40625 L 1038.761719 276.171875 L 1038.761719 271.175781 L 1038.648438 269.550781 L 1038.367188 268.09375 L 1037.917969 266.859375 L 1037.246094 265.847656 L 1036.40625 265.0625 L 1035.394531 264.445312 L 1034.890625 264.277344 L 1034.386719 264.164062 L 1033.824219 264.050781 L 1033.261719 263.996094 L 1032.644531 263.941406 L 1032.027344 263.882812 L 1018.566406 263.882812 L 1018.566406 281.5 L 1013.347656 281.5 L 1013.347656 240.601562 L 1032.195312 240.601562 L 1034.722656 240.769531 L 1037.023438 241.164062 L 1038.984375 241.894531 L 1040.667969 242.847656 L 1042.070312 244.082031 L 1043.191406 245.59375 L 1043.585938 246.492188 L 1043.976562 247.390625 L 1044.257812 248.34375 L 1044.484375 249.355469 L 1044.59375 250.421875 L 1044.652344 251.542969 L 1044.539062 253.058594 L 1044.316406 254.460938 L 1043.921875 255.75 L 1043.359375 256.929688 L 1042.6875 257.992188 L 1041.847656 258.890625 L 1041.339844 259.339844 L 1040.78125 259.789062 L 1040.21875 260.179688 L 1039.601562 260.574219 L 1038.929688 260.96875 Z M 1039.210938 252.269531 L 1039.042969 250.589844 L 1038.703125 249.128906 L 1038.03125 247.894531 L 1037.191406 246.886719 L 1036.011719 246.15625 L 1034.664062 245.59375 L 1034.160156 245.484375 L 1033.597656 245.371094 L 1033.039062 245.316406 L 1032.421875 245.257812 L 1031.804688 245.257812 L 1031.1875 245.203125 L 1018.566406 245.203125 L 1018.566406 259.285156 L 1031.1875 259.285156 L 1033.039062 259.226562 L 1034.664062 258.890625 L 1036.011719 258.386719 L 1037.132812 257.65625 L 1038.03125 256.757812 L 1038.648438 255.636719 L 1038.816406 255.1875 L 1038.929688 254.628906 L 1039.042969 254.121094 L 1039.097656 253.503906 L 1039.152344 252.945312 Z M 1039.210938 252.269531 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 551 853 L 1373 853 M 551 783 L 1373 783 M 551 783 L 551 853 M 1373 783 L 1373 853 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 551 853 L 1373 853 M 551 783 L 1373 783 M 1373 853 L 1373 783 M 551 853 L 551 783 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 930.492188 208.5 L 924.882812 208.5 L 910.183594 167.601562 L 915.792969 167.601562 L 927.796875 202.214844 L 939.132812 167.601562 L 944.683594 167.601562 Z M 930.492188 208.5 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 980.476562 179.609375 L 980.367188 181.402344 L 980.085938 183.03125 L 979.578125 184.542969 L 978.960938 185.890625 L 978.066406 187.125 L 977.054688 188.246094 L 975.933594 189.203125 L 974.753906 189.929688 L 973.464844 190.492188 L 972.0625 190.882812 L 970.605469 191.109375 L 969.03125 191.164062 L 956.1875 191.164062 L 956.1875 208.5 L 950.96875 208.5 L 950.96875 167.601562 L 967.855469 167.601562 L 970.265625 167.769531 L 972.457031 168.164062 L 974.363281 168.835938 L 976.046875 169.789062 L 977.449219 170.96875 L 978.625 172.425781 L 979.1875 173.492188 L 979.636719 174.558594 L 979.972656 175.679688 L 980.253906 176.914062 L 980.421875 178.261719 Z M 956.1875 186.566406 L 967.070312 186.566406 L 968.585938 186.507812 L 969.929688 186.226562 L 971.164062 185.835938 L 972.230469 185.21875 L 973.128906 184.433594 L 973.914062 183.480469 L 974.195312 182.917969 L 974.476562 182.246094 L 974.699219 181.628906 L 974.867188 180.898438 L 974.980469 180.167969 L 975.035156 179.382812 L 974.921875 178.039062 L 974.644531 176.804688 L 974.195312 175.738281 L 973.578125 174.785156 L 972.734375 173.996094 L 971.78125 173.324219 L 971.109375 173.042969 L 970.378906 172.765625 L 969.59375 172.539062 L 968.808594 172.371094 L 967.96875 172.257812 L 967.070312 172.203125 L 956.1875 172.203125 Z M 956.1875 186.566406 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1018.175781 196.832031 L 1018.066406 198.625 L 1017.785156 200.253906 L 1017.28125 201.769531 L 1016.605469 203.113281 L 1015.707031 204.40625 L 1014.644531 205.527344 L 1013.464844 206.480469 L 1012.230469 207.210938 L 1010.828125 207.769531 L 1009.371094 208.21875 L 1007.796875 208.445312 L 1006.117188 208.5 L 987.660156 208.5 L 987.660156 167.601562 L 1004.265625 167.601562 L 1006.675781 167.769531 L 1008.808594 168.164062 L 1010.714844 168.835938 L 1012.34375 169.789062 L 1013.746094 171.023438 L 1014.867188 172.539062 L 1015.316406 173.378906 L 1015.707031 174.277344 L 1015.988281 175.121094 L 1016.214844 176.074219 L 1016.324219 177.027344 L 1016.382812 177.980469 L 1016.214844 180 L 1015.707031 181.738281 L 1014.921875 183.367188 L 1013.800781 184.714844 L 1012.398438 185.949219 L 1010.714844 186.902344 L 1012.34375 187.628906 L 1013.746094 188.414062 L 1014.980469 189.3125 L 1015.988281 190.324219 L 1016.773438 191.390625 L 1017.390625 192.511719 L 1017.617188 193.183594 L 1017.785156 193.859375 L 1017.953125 194.53125 L 1018.066406 195.316406 L 1018.121094 196.046875 Z M 1011.164062 178.710938 L 1010.996094 177.027344 L 1010.492188 175.625 L 1009.707031 174.390625 L 1008.585938 173.492188 L 1007.179688 172.820312 L 1005.441406 172.371094 L 1005.050781 172.371094 L 1004.65625 172.316406 L 1004.265625 172.257812 L 1003.367188 172.257812 L 1002.972656 172.203125 L 992.875 172.203125 L 992.875 185.21875 L 1002.972656 185.21875 L 1005.050781 185.105469 L 1006.84375 184.769531 L 1008.359375 184.152344 L 1009.480469 183.3125 L 1010.378906 182.1875 L 1010.941406 180.84375 L 1010.996094 180.5625 L 1011.050781 180.226562 L 1011.109375 179.886719 L 1011.109375 179.101562 Z M 1005.609375 203.898438 L 1007.179688 203.84375 L 1008.585938 203.507812 L 1009.820312 202.945312 L 1010.828125 202.214844 L 1011.667969 201.261719 L 1012.34375 200.085938 L 1012.511719 199.636719 L 1012.625 199.132812 L 1012.792969 198.570312 L 1012.847656 198.066406 L 1012.902344 197.503906 L 1012.960938 196.886719 L 1012.847656 195.652344 L 1012.625 194.476562 L 1012.230469 193.464844 L 1011.667969 192.511719 L 1010.941406 191.726562 L 1010.097656 190.996094 L 1009.480469 190.660156 L 1008.808594 190.378906 L 1008.078125 190.15625 L 1007.292969 189.988281 L 1006.453125 189.875 L 1005.609375 189.820312 L 992.875 189.820312 L 992.875 203.898438 Z M 1005.609375 203.898438 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 551 902 L 1373 902 M 551 880 L 1373 880 M 551 880 L 551 902 M 1373 880 L 1373 902 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 551 902 L 1373 902 M 551 880 L 1373 880 M 1373 902 L 1373 880 M 551 902 L 551 880 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1143.09375 643.214844 L 1127.328125 643.214844 L 1123.007812 655.5 L 1117.453125 655.5 L 1132.039062 614.601562 L 1138.773438 614.601562 L 1153.132812 655.5 L 1147.300781 655.5 Z M 1141.632812 638.839844 L 1135.351562 620.210938 L 1128.617188 638.839844 Z M 1141.632812 638.839844 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1168.394531 627.167969 L 1159.585938 627.167969 L 1159.585938 623.632812 L 1161.101562 623.464844 L 1162.445312 623.242188 L 1163.625 622.960938 L 1164.632812 622.738281 L 1165.476562 622.457031 L 1166.207031 622.121094 L 1166.765625 621.785156 L 1167.269531 621.390625 L 1167.71875 620.941406 L 1168.167969 620.378906 L 1168.5625 619.765625 L 1168.953125 619.035156 L 1169.121094 618.640625 L 1169.292969 618.191406 L 1169.460938 617.6875 L 1169.683594 617.070312 L 1169.851562 616.453125 L 1170.078125 615.722656 L 1173.332031 615.722656 L 1173.332031 655.5 L 1168.394531 655.5 Z M 1168.394531 627.167969 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 1128 460 L 1161 460 M 1128 428 L 1161 428 M 1128 428 L 1128 460 M 1161 428 L 1161 460 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 1128 460 L 1161 460 M 1128 428 L 1161 428 M 1161 460 L 1161 428 M 1128 460 L 1128 428 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1235.09375 643.214844 L 1219.328125 643.214844 L 1215.007812 655.5 L 1209.453125 655.5 L 1224.039062 614.601562 L 1230.773438 614.601562 L 1245.132812 655.5 L 1239.300781 655.5 Z M 1233.632812 638.839844 L 1227.351562 620.210938 L 1220.617188 638.839844 Z M 1233.632812 638.839844 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1260.394531 627.167969 L 1251.585938 627.167969 L 1251.585938 623.632812 L 1253.101562 623.464844 L 1254.445312 623.242188 L 1255.625 622.960938 L 1256.632812 622.738281 L 1257.476562 622.457031 L 1258.207031 622.121094 L 1258.765625 621.785156 L 1259.269531 621.390625 L 1259.71875 620.941406 L 1260.167969 620.378906 L 1260.5625 619.765625 L 1260.953125 619.035156 L 1261.121094 618.640625 L 1261.292969 618.191406 L 1261.460938 617.6875 L 1261.683594 617.070312 L 1261.851562 616.453125 L 1262.078125 615.722656 L 1265.332031 615.722656 L 1265.332031 655.5 L 1260.394531 655.5 Z M 1260.394531 627.167969 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 1220 460 L 1252 460 M 1220 428 L 1252 428 M 1220 428 L 1220 460 M 1252 428 L 1252 460 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 1220 460 L 1252 460 M 1220 428 L 1252 428 M 1252 460 L 1252 428 M 1220 460 L 1220 428 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1322.09375 643.214844 L 1306.328125 643.214844 L 1302.007812 655.5 L 1296.453125 655.5 L 1311.039062 614.601562 L 1317.773438 614.601562 L 1332.132812 655.5 L 1326.300781 655.5 Z M 1320.632812 638.839844 L 1314.351562 620.210938 L 1307.617188 638.839844 Z M 1320.632812 638.839844 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1335.667969 629.523438 L 1336.117188 625.316406 L 1337.296875 621.894531 L 1339.148438 619.203125 L 1341.671875 617.296875 L 1344.867188 616.117188 L 1348.796875 615.722656 L 1350.589844 615.835938 L 1352.332031 616.117188 L 1353.957031 616.566406 L 1355.414062 617.238281 L 1356.761719 618.082031 L 1358.050781 619.035156 L 1359.0625 620.210938 L 1359.960938 621.445312 L 1360.632812 622.792969 L 1361.136719 624.195312 L 1361.417969 625.765625 L 1361.53125 627.394531 L 1361.304688 629.695312 L 1360.6875 631.824219 L 1359.625 633.789062 L 1358.164062 635.640625 L 1356.257812 637.378906 L 1353.957031 638.894531 L 1353.117188 639.398438 L 1347.503906 642.429688 L 1346.046875 643.269531 L 1344.757812 644.113281 L 1343.632812 644.953125 L 1342.738281 645.792969 L 1341.953125 646.636719 L 1341.390625 647.476562 L 1341.109375 647.984375 L 1340.886719 648.429688 L 1340.71875 648.992188 L 1340.550781 649.496094 L 1340.378906 650.058594 L 1340.324219 650.621094 L 1361.25 650.621094 L 1361.25 655.5 L 1334.769531 655.5 L 1334.882812 653.535156 L 1335.164062 651.742188 L 1335.5 650.058594 L 1335.949219 648.597656 L 1336.453125 647.195312 L 1337.070312 645.960938 L 1337.742188 644.898438 L 1338.585938 643.832031 L 1339.59375 642.765625 L 1340.71875 641.753906 L 1342.007812 640.746094 L 1343.523438 639.734375 L 1343.859375 639.511719 L 1344.25 639.285156 L 1344.644531 639.007812 L 1345.039062 638.78125 L 1345.484375 638.558594 L 1345.933594 638.277344 L 1351.097656 635.359375 L 1352.722656 634.292969 L 1354.070312 633.117188 L 1355.136719 631.882812 L 1355.863281 630.535156 L 1356.3125 629.078125 L 1356.480469 627.503906 L 1356.371094 626.441406 L 1356.203125 625.375 L 1355.808594 624.421875 L 1355.359375 623.523438 L 1354.6875 622.738281 L 1353.957031 621.953125 L 1353.171875 621.390625 L 1352.386719 620.886719 L 1351.488281 620.550781 L 1350.589844 620.269531 L 1349.636719 620.101562 L 1348.628906 620.042969 L 1346.441406 620.324219 L 1344.589844 620.996094 L 1343.074219 622.175781 L 1341.953125 623.804688 L 1341.167969 625.933594 L 1340.71875 628.460938 L 1340.660156 628.683594 L 1340.660156 629.019531 L 1340.605469 629.1875 L 1340.605469 629.523438 Z M 1335.667969 629.523438 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 1311 460 L 1343 460 M 1311 428 L 1343 428 M 1311 428 L 1311 460 M 1343 428 L 1343 460 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 1311 460 L 1343 460 M 1311 428 L 1343 428 M 1343 460 L 1343 428 M 1311 460 L 1311 428 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 786.449219 643.832031 L 786.339844 645.625 L 786.058594 647.253906 L 785.554688 648.769531 L 784.878906 650.113281 L 783.984375 651.40625 L 782.917969 652.527344 L 781.738281 653.480469 L 780.503906 654.210938 L 779.101562 654.769531 L 777.644531 655.21875 L 776.070312 655.445312 L 774.390625 655.5 L 755.933594 655.5 L 755.933594 614.601562 L 772.539062 614.601562 L 774.949219 614.769531 L 777.082031 615.164062 L 778.988281 615.835938 L 780.617188 616.789062 L 782.019531 618.023438 L 783.140625 619.539062 L 783.589844 620.378906 L 783.984375 621.277344 L 784.261719 622.121094 L 784.488281 623.074219 L 784.597656 624.027344 L 784.65625 624.980469 L 784.488281 627 L 783.984375 628.738281 L 783.195312 630.367188 L 782.074219 631.714844 L 780.671875 632.949219 L 778.988281 633.902344 L 780.617188 634.628906 L 782.019531 635.414062 L 783.253906 636.3125 L 784.261719 637.324219 L 785.046875 638.390625 L 785.664062 639.511719 L 785.890625 640.183594 L 786.058594 640.859375 L 786.226562 641.53125 L 786.339844 642.316406 L 786.394531 643.046875 Z M 779.4375 625.710938 L 779.269531 624.027344 L 778.765625 622.625 L 777.980469 621.390625 L 776.859375 620.492188 L 775.457031 619.820312 L 773.714844 619.371094 L 773.324219 619.371094 L 772.929688 619.316406 L 772.539062 619.257812 L 771.640625 619.257812 L 771.246094 619.203125 L 761.148438 619.203125 L 761.148438 632.21875 L 771.246094 632.21875 L 773.324219 632.105469 L 775.117188 631.769531 L 776.632812 631.152344 L 777.753906 630.3125 L 778.652344 629.1875 L 779.214844 627.84375 L 779.269531 627.5625 L 779.324219 627.226562 L 779.382812 626.886719 L 779.382812 626.101562 Z M 773.882812 650.898438 L 775.457031 650.84375 L 776.859375 650.507812 L 778.09375 649.945312 L 779.101562 649.214844 L 779.941406 648.261719 L 780.617188 647.085938 L 780.785156 646.636719 L 780.898438 646.132812 L 781.066406 645.570312 L 781.121094 645.066406 L 781.175781 644.503906 L 781.234375 643.886719 L 781.121094 642.652344 L 780.898438 641.476562 L 780.503906 640.464844 L 779.941406 639.511719 L 779.214844 638.726562 L 778.371094 637.996094 L 777.753906 637.660156 L 777.082031 637.378906 L 776.351562 637.15625 L 775.566406 636.988281 L 774.726562 636.875 L 773.882812 636.820312 L 761.148438 636.820312 L 761.148438 650.898438 Z M 773.882812 650.898438 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 803.394531 627.167969 L 794.585938 627.167969 L 794.585938 623.632812 L 796.101562 623.464844 L 797.445312 623.242188 L 798.625 622.960938 L 799.632812 622.738281 L 800.476562 622.457031 L 801.207031 622.121094 L 801.765625 621.785156 L 802.269531 621.390625 L 802.71875 620.941406 L 803.167969 620.378906 L 803.5625 619.765625 L 803.953125 619.035156 L 804.121094 618.640625 L 804.292969 618.191406 L 804.460938 617.6875 L 804.683594 617.070312 L 804.851562 616.453125 L 805.078125 615.722656 L 808.332031 615.722656 L 808.332031 655.5 L 803.394531 655.5 Z M 803.394531 627.167969 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 763 460 L 795 460 M 763 428 L 795 428 M 763 428 L 763 460 M 795 428 L 795 460 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 763 460 L 795 460 M 763 428 L 795 428 M 795 460 L 795 428 M 763 460 L 763 428 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 877.449219 643.832031 L 877.339844 645.625 L 877.058594 647.253906 L 876.554688 648.769531 L 875.878906 650.113281 L 874.984375 651.40625 L 873.917969 652.527344 L 872.738281 653.480469 L 871.503906 654.210938 L 870.101562 654.769531 L 868.644531 655.21875 L 867.070312 655.445312 L 865.390625 655.5 L 846.933594 655.5 L 846.933594 614.601562 L 863.539062 614.601562 L 865.949219 614.769531 L 868.082031 615.164062 L 869.988281 615.835938 L 871.617188 616.789062 L 873.019531 618.023438 L 874.140625 619.539062 L 874.589844 620.378906 L 874.984375 621.277344 L 875.261719 622.121094 L 875.488281 623.074219 L 875.597656 624.027344 L 875.65625 624.980469 L 875.488281 627 L 874.984375 628.738281 L 874.195312 630.367188 L 873.074219 631.714844 L 871.671875 632.949219 L 869.988281 633.902344 L 871.617188 634.628906 L 873.019531 635.414062 L 874.253906 636.3125 L 875.261719 637.324219 L 876.046875 638.390625 L 876.664062 639.511719 L 876.890625 640.183594 L 877.058594 640.859375 L 877.226562 641.53125 L 877.339844 642.316406 L 877.394531 643.046875 Z M 870.4375 625.710938 L 870.269531 624.027344 L 869.765625 622.625 L 868.980469 621.390625 L 867.859375 620.492188 L 866.457031 619.820312 L 864.714844 619.371094 L 864.324219 619.371094 L 863.929688 619.316406 L 863.539062 619.257812 L 862.640625 619.257812 L 862.246094 619.203125 L 852.148438 619.203125 L 852.148438 632.21875 L 862.246094 632.21875 L 864.324219 632.105469 L 866.117188 631.769531 L 867.632812 631.152344 L 868.753906 630.3125 L 869.652344 629.1875 L 870.214844 627.84375 L 870.269531 627.5625 L 870.324219 627.226562 L 870.382812 626.886719 L 870.382812 626.101562 Z M 864.882812 650.898438 L 866.457031 650.84375 L 867.859375 650.507812 L 869.09375 649.945312 L 870.101562 649.214844 L 870.941406 648.261719 L 871.617188 647.085938 L 871.785156 646.636719 L 871.898438 646.132812 L 872.066406 645.570312 L 872.121094 645.066406 L 872.175781 644.503906 L 872.234375 643.886719 L 872.121094 642.652344 L 871.898438 641.476562 L 871.503906 640.464844 L 870.941406 639.511719 L 870.214844 638.726562 L 869.371094 637.996094 L 868.753906 637.660156 L 868.082031 637.378906 L 867.351562 637.15625 L 866.566406 636.988281 L 865.726562 636.875 L 864.882812 636.820312 L 852.148438 636.820312 L 852.148438 650.898438 Z M 864.882812 650.898438 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 894.394531 627.167969 L 885.585938 627.167969 L 885.585938 623.632812 L 887.101562 623.464844 L 888.445312 623.242188 L 889.625 622.960938 L 890.632812 622.738281 L 891.476562 622.457031 L 892.207031 622.121094 L 892.765625 621.785156 L 893.269531 621.390625 L 893.71875 620.941406 L 894.167969 620.378906 L 894.5625 619.765625 L 894.953125 619.035156 L 895.121094 618.640625 L 895.292969 618.191406 L 895.460938 617.6875 L 895.683594 617.070312 L 895.851562 616.453125 L 896.078125 615.722656 L 899.332031 615.722656 L 899.332031 655.5 L 894.394531 655.5 Z M 894.394531 627.167969 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 854 460 L 887 460 M 854 428 L 887 428 M 854 428 L 854 460 M 887 428 L 887 460 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 854 460 L 887 460 M 854 428 L 887 428 M 887 460 L 887 428 M 854 460 L 854 428 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 969.449219 643.832031 L 969.339844 645.625 L 969.058594 647.253906 L 968.554688 648.769531 L 967.878906 650.113281 L 966.984375 651.40625 L 965.917969 652.527344 L 964.738281 653.480469 L 963.503906 654.210938 L 962.101562 654.769531 L 960.644531 655.21875 L 959.070312 655.445312 L 957.390625 655.5 L 938.933594 655.5 L 938.933594 614.601562 L 955.539062 614.601562 L 957.949219 614.769531 L 960.082031 615.164062 L 961.988281 615.835938 L 963.617188 616.789062 L 965.019531 618.023438 L 966.140625 619.539062 L 966.589844 620.378906 L 966.984375 621.277344 L 967.261719 622.121094 L 967.488281 623.074219 L 967.597656 624.027344 L 967.65625 624.980469 L 967.488281 627 L 966.984375 628.738281 L 966.195312 630.367188 L 965.074219 631.714844 L 963.671875 632.949219 L 961.988281 633.902344 L 963.617188 634.628906 L 965.019531 635.414062 L 966.253906 636.3125 L 967.261719 637.324219 L 968.046875 638.390625 L 968.664062 639.511719 L 968.890625 640.183594 L 969.058594 640.859375 L 969.226562 641.53125 L 969.339844 642.316406 L 969.394531 643.046875 Z M 962.4375 625.710938 L 962.269531 624.027344 L 961.765625 622.625 L 960.980469 621.390625 L 959.859375 620.492188 L 958.457031 619.820312 L 956.714844 619.371094 L 956.324219 619.371094 L 955.929688 619.316406 L 955.539062 619.257812 L 954.640625 619.257812 L 954.246094 619.203125 L 944.148438 619.203125 L 944.148438 632.21875 L 954.246094 632.21875 L 956.324219 632.105469 L 958.117188 631.769531 L 959.632812 631.152344 L 960.753906 630.3125 L 961.652344 629.1875 L 962.214844 627.84375 L 962.269531 627.5625 L 962.324219 627.226562 L 962.382812 626.886719 L 962.382812 626.101562 Z M 956.882812 650.898438 L 958.457031 650.84375 L 959.859375 650.507812 L 961.09375 649.945312 L 962.101562 649.214844 L 962.941406 648.261719 L 963.617188 647.085938 L 963.785156 646.636719 L 963.898438 646.132812 L 964.066406 645.570312 L 964.121094 645.066406 L 964.175781 644.503906 L 964.234375 643.886719 L 964.121094 642.652344 L 963.898438 641.476562 L 963.503906 640.464844 L 962.941406 639.511719 L 962.214844 638.726562 L 961.371094 637.996094 L 960.753906 637.660156 L 960.082031 637.378906 L 959.351562 637.15625 L 958.566406 636.988281 L 957.726562 636.875 L 956.882812 636.820312 L 944.148438 636.820312 L 944.148438 650.898438 Z M 956.882812 650.898438 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 986.394531 627.167969 L 977.585938 627.167969 L 977.585938 623.632812 L 979.101562 623.464844 L 980.445312 623.242188 L 981.625 622.960938 L 982.632812 622.738281 L 983.476562 622.457031 L 984.207031 622.121094 L 984.765625 621.785156 L 985.269531 621.390625 L 985.71875 620.941406 L 986.167969 620.378906 L 986.5625 619.765625 L 986.953125 619.035156 L 987.121094 618.640625 L 987.292969 618.191406 L 987.460938 617.6875 L 987.683594 617.070312 L 987.851562 616.453125 L 988.078125 615.722656 L 991.332031 615.722656 L 991.332031 655.5 L 986.394531 655.5 Z M 986.394531 627.167969 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 946 460 L 978 460 M 946 428 L 978 428 M 946 428 L 946 460 M 978 428 L 978 460 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 946 460 L 978 460 M 946 428 L 978 428 M 978 460 L 978 428 M 946 460 L 946 428 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 600.433594 775.519531 L 614.910156 796.5 L 608.457031 796.5 L 597.292969 779.445312 L 586.074219 796.5 L 579.734375 796.5 L 594.207031 775.519531 L 580.632812 755.601562 L 586.972656 755.601562 L 597.460938 771.648438 L 608.007812 755.601562 L 614.234375 755.601562 Z M 600.433594 775.519531 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 580 320 L 613 320 M 580 287 L 613 287 M 580 287 L 580 320 M 613 287 L 613 320 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 580 320 L 613 320 M 580 287 L 613 287 M 613 320 L 613 287 M 580 320 L 580 287 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 600.433594 704.519531 L 614.910156 725.5 L 608.457031 725.5 L 597.292969 708.445312 L 586.074219 725.5 L 579.734375 725.5 L 594.207031 704.519531 L 580.632812 684.601562 L 586.972656 684.601562 L 597.460938 700.648438 L 608.007812 684.601562 L 614.234375 684.601562 Z M 600.433594 704.519531 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 580 390 L 613 390 M 580 358 L 613 358 M 580 358 L 580 390 M 613 358 L 613 390 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 580 390 L 613 390 M 580 358 L 613 358 M 613 390 L 613 358 M 580 390 L 580 358 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 600.433594 634.519531 L 614.910156 655.5 L 608.457031 655.5 L 597.292969 638.445312 L 586.074219 655.5 L 579.734375 655.5 L 594.207031 634.519531 L 580.632812 614.601562 L 586.972656 614.601562 L 597.460938 630.648438 L 608.007812 614.601562 L 614.234375 614.601562 Z M 600.433594 634.519531 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 580 460 L 613 460 M 580 428 L 613 428 M 580 428 L 580 460 M 613 428 L 613 460 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 580 460 L 613 460 M 580 428 L 613 428 M 613 460 L 613 428 M 580 460 L 580 428 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 600.433594 564.519531 L 614.910156 585.5 L 608.457031 585.5 L 597.292969 568.445312 L 586.074219 585.5 L 579.734375 585.5 L 594.207031 564.519531 L 580.632812 544.601562 L 586.972656 544.601562 L 597.460938 560.648438 L 608.007812 544.601562 L 614.234375 544.601562 Z M 600.433594 564.519531 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 580 531 L 613 531 M 580 498 L 613 498 M 580 498 L 580 531 M 613 498 L 613 531 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 580 531 L 613 531 M 580 498 L 613 498 M 613 531 L 613 498 M 580 531 L 580 498 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 600.433594 493.519531 L 614.910156 514.5 L 608.457031 514.5 L 597.292969 497.445312 L 586.074219 514.5 L 579.734375 514.5 L 594.207031 493.519531 L 580.632812 473.601562 L 586.972656 473.601562 L 597.460938 489.648438 L 608.007812 473.601562 L 614.234375 473.601562 Z M 600.433594 493.519531 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 580 601 L 613 601 M 580 569 L 613 569 M 580 569 L 580 601 M 613 569 L 613 601 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 580 601 L 613 601 M 580 569 L 613 569 M 613 601 L 613 569 M 580 601 L 580 569 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 600.433594 423.519531 L 614.910156 444.5 L 608.457031 444.5 L 597.292969 427.445312 L 586.074219 444.5 L 579.734375 444.5 L 594.207031 423.519531 L 580.632812 403.601562 L 586.972656 403.601562 L 597.460938 419.648438 L 608.007812 403.601562 L 614.234375 403.601562 Z M 600.433594 423.519531 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 580 672 L 613 672 M 580 639 L 613 639 M 580 639 L 580 672 M 613 639 L 613 672 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 580 672 L 613 672 M 580 639 L 613 639 M 613 672 L 613 639 M 580 672 L 580 639 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 600.433594 352.519531 L 614.910156 373.5 L 608.457031 373.5 L 597.292969 356.445312 L 586.074219 373.5 L 579.734375 373.5 L 594.207031 352.519531 L 580.632812 332.601562 L 586.972656 332.601562 L 597.460938 348.648438 L 608.007812 332.601562 L 614.234375 332.601562 Z M 600.433594 352.519531 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 580 742 L 613 742 M 580 710 L 613 710 M 580 710 L 580 742 M 613 710 L 613 742 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 580 742 L 613 742 M 580 710 L 613 710 M 613 742 L 613 710 M 580 742 L 580 710 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(100%,100%,100%);stroke-opacity:1;stroke-miterlimit:10;" d="M 551 122 L 551 131 M 546 127 L 555 127 M 550 128 L 552 128 M 550 126 L 552 126 M 550 126 L 550 128 M 552 126 L 552 128 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+</g>
+</svg>
diff --git a/cells/a21o/sky130_fd_sc_hvl__a21o_1.v b/cells/a21o/sky130_fd_sc_hvl__a21o_1.v
new file mode 100644
index 0000000..c3b1ef4
--- /dev/null
+++ b/cells/a21o/sky130_fd_sc_hvl__a21o_1.v
@@ -0,0 +1,119 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+
+`ifndef SKY130_FD_SC_HVL__A21O_1_V
+`define SKY130_FD_SC_HVL__A21O_1_V
+
+/**
+ * a21o: 2-input AND into first input of 2-input OR.
+ *
+ *       X = ((A1 & A2) | B1)
+ *
+ * Verilog wrapper for a21o with size of 1 units.
+ *
+ * WARNING: This file is autogenerated, do not modify directly!
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+`include "sky130_fd_sc_hvl__a21o.v"
+
+`ifdef USE_POWER_PINS
+/*********************************************************/
+
+`celldefine
+module sky130_fd_sc_hvl__a21o_1 (
+    X   ,
+    A1  ,
+    A2  ,
+    B1  ,
+    VPWR,
+    VGND,
+    VPB ,
+    VNB
+);
+
+    output X   ;
+    input  A1  ;
+    input  A2  ;
+    input  B1  ;
+    input  VPWR;
+    input  VGND;
+    input  VPB ;
+    input  VNB ;
+    sky130_fd_sc_hvl__a21o cell (
+        .X(X),
+        .A1(A1),
+        .A2(A2),
+        .B1(B1),
+        .VPWR(VPWR),
+        .VGND(VGND),
+        .VPB(VPB),
+        .VNB(VNB)
+    );
+
+endmodule
+`endcelldefine
+
+/*********************************************************/
+`else // If not USE_POWER_PINS
+/*********************************************************/
+
+`celldefine
+module sky130_fd_sc_hvl__a21o_1 (
+    X   ,
+    A1  ,
+    A2  ,
+    B1  ,
+    VPWR,
+    VGND,
+    VPB ,
+    VNB
+);
+
+    output X   ;
+    input  A1  ;
+    input  A2  ;
+    input  B1  ;
+    input  VPWR;
+    input  VGND;
+    input  VPB ;
+    input  VNB ;
+
+    // Voltage supply signals
+    supply1 VPWR;
+    supply0 VGND;
+    supply1 VPB ;
+    supply0 VNB ;
+
+    sky130_fd_sc_hvl__a21o cell (
+        .X(X),
+        .A1(A1),
+        .A2(A2),
+        .B1(B1)
+    );
+
+endmodule
+`endcelldefine
+
+/*********************************************************/
+`endif // USE_POWER_PINS
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HVL__A21O_1_V
diff --git a/cells/a21o/sky130_fd_sc_hvl__a21o_1__ff_085C_5v50.lib.json b/cells/a21o/sky130_fd_sc_hvl__a21o_1__ff_085C_5v50.lib.json
new file mode 100644
index 0000000..f070ee4
--- /dev/null
+++ b/cells/a21o/sky130_fd_sc_hvl__a21o_1__ff_085C_5v50.lib.json
@@ -0,0 +1,10908 @@
+{
+  "area": 17.5824,
+  "cell_footprint": "a21o",
+  "cell_leakage_power": 1.934745,
+  "driver_waveform_fall": "ramp",
+  "driver_waveform_rise": "ramp",
+  "leakage_power": [
+    {
+      "value": 1.5302898,
+      "when": "!A1&!A2&B1"
+    },
+    {
+      "value": 2.2265123,
+      "when": "!A1&!A2&!B1"
+    },
+    {
+      "value": 1.5302886,
+      "when": "!A1&A2&B1"
+    },
+    {
+      "value": 3.189617,
+      "when": "!A1&A2&!B1"
+    },
+    {
+      "value": 1.5302882,
+      "when": "A1&!A2&B1"
+    },
+    {
+      "value": 2.7922855,
+      "when": "A1&!A2&!B1"
+    },
+    {
+      "value": 1.1704294,
+      "when": "A1&A2&B1"
+    },
+    {
+      "value": 1.5082522,
+      "when": "A1&A2&!B1"
+    }
+  ],
+  "pg_pin VGND": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VGND"
+  },
+  "pg_pin VNB": {
+    "pg_type": "pwell",
+    "voltage_name": "VNB"
+  },
+  "pg_pin VPB": {
+    "pg_type": "nwell",
+    "voltage_name": "VPB"
+  },
+  "pg_pin VPWR": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPWR"
+  },
+  "pin A1": {
+    "capacitance": 0.004233,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.004186,
+    "internal_power": {
+      "fall_power hidden_pwr_template11": {
+        "index_1": [
+          0.001,
+          0.013,
+          0.026,
+          0.072,
+          0.216,
+          0.432,
+          0.864,
+          1.296,
+          2.5,
+          3.125,
+          3.7499999
+        ],
+        "values": [
+          0.0798289,
+          0.0797568,
+          0.0796792,
+          0.0797146,
+          0.0798326,
+          0.0798644,
+          0.0799313,
+          0.0797796,
+          0.0793628,
+          0.0791447,
+          0.0789267
+        ]
+      },
+      "rise_power hidden_pwr_template11": {
+        "index_1": [
+          0.001,
+          0.013,
+          0.026,
+          0.072,
+          0.216,
+          0.432,
+          0.864,
+          1.296,
+          2.5,
+          3.125,
+          3.7499999
+        ],
+        "values": [
+          -0.073964,
+          -0.0739747,
+          -0.073986,
+          -0.0738873,
+          -0.0735716,
+          -0.0734648,
+          -0.0732477,
+          -0.0731584,
+          -0.0729037,
+          -0.0727731,
+          -0.0726424
+        ]
+      }
+    },
+    "max_transition": 3.75,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.004281
+  },
+  "pin A2": {
+    "capacitance": 0.004098,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.003992,
+    "internal_power": {
+      "fall_power hidden_pwr_template11": {
+        "index_1": [
+          0.001,
+          0.013,
+          0.026,
+          0.072,
+          0.216,
+          0.432,
+          0.864,
+          1.296,
+          2.5,
+          3.125,
+          3.7499999
+        ],
+        "values": [
+          0.0763992,
+          0.0758673,
+          0.0752915,
+          0.0746264,
+          0.072552,
+          0.0727386,
+          0.0731156,
+          0.0730737,
+          0.0729636,
+          0.0729046,
+          0.0728456
+        ]
+      },
+      "rise_power hidden_pwr_template11": {
+        "index_1": [
+          0.001,
+          0.013,
+          0.026,
+          0.072,
+          0.216,
+          0.432,
+          0.864,
+          1.296,
+          2.5,
+          3.125,
+          3.7499999
+        ],
+        "values": [
+          -0.0718407,
+          -0.0718706,
+          -0.0719028,
+          -0.0719239,
+          -0.0719831,
+          -0.0719683,
+          -0.0719352,
+          -0.0718874,
+          -0.0717484,
+          -0.0716777,
+          -0.0716071
+        ]
+      }
+    },
+    "max_transition": 3.75,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.004205
+  },
+  "pin B1": {
+    "capacitance": 0.004282,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.004053,
+    "internal_power": {
+      "fall_power hidden_pwr_template11": {
+        "index_1": [
+          0.001,
+          0.013,
+          0.026,
+          0.072,
+          0.216,
+          0.432,
+          0.864,
+          1.296,
+          2.5,
+          3.125,
+          3.7499999
+        ],
+        "values": [
+          0.0445382,
+          0.0445357,
+          0.0445331,
+          0.044593,
+          0.0447853,
+          0.0450359,
+          0.0455394,
+          0.0456116,
+          0.0458166,
+          0.045922,
+          0.0460275
+        ]
+      },
+      "rise_power hidden_pwr_template11": {
+        "index_1": [
+          0.001,
+          0.013,
+          0.026,
+          0.072,
+          0.216,
+          0.432,
+          0.864,
+          1.296,
+          2.5,
+          3.125,
+          3.7499999
+        ],
+        "values": [
+          -0.0177503,
+          -0.0176532,
+          -0.017548,
+          -0.0176044,
+          -0.0177754,
+          -0.0177509,
+          -0.0176993,
+          -0.0176523,
+          -0.0175168,
+          -0.0174476,
+          -0.0173786
+        ]
+      }
+    },
+    "max_transition": 3.75,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.004512
+  },
+  "pin X": {
+    "direction": "output",
+    "function": "(A1&A2) | (B1)",
+    "internal_power": [
+      {
+        "fall_power pwr_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.2431751,
+              0.1018364,
+              0.0755456,
+              0.0421246,
+              0.0034383,
+              -0.0430677,
+              -0.1002559,
+              -0.1676372,
+              -0.2483661,
+              -0.3451409,
+              -0.4612296,
+              -0.6002878,
+              -0.7668117,
+              -0.9667237,
+              -1.2070414,
+              -1.4949671,
+              -1.8392955,
+              -2.2532176,
+              -2.7497792,
+              -3.3451566,
+              -4.0609464,
+              -4.91824,
+              -5.9479342,
+              -7.1831392,
+              -8.6649063,
+              -10.4439733
+            ],
+            [
+              0.2438417,
+              0.1019639,
+              0.0753547,
+              0.0426656,
+              0.0032532,
+              -0.0429444,
+              -0.0999365,
+              -0.1673949,
+              -0.2475843,
+              -0.3450212,
+              -0.4609063,
+              -0.6001034,
+              -0.7666556,
+              -0.9669245,
+              -1.2065681,
+              -1.4944087,
+              -1.8390767,
+              -2.2531218,
+              -2.7498441,
+              -3.3458339,
+              -4.0609034,
+              -4.9177095,
+              -5.9481171,
+              -7.182951,
+              -8.6650944,
+              -10.4437667
+            ],
+            [
+              0.241968,
+              0.1000953,
+              0.0731399,
+              0.0400703,
+              0.0006939,
+              -0.0464787,
+              -0.1020753,
+              -0.1698967,
+              -0.2498745,
+              -0.3474566,
+              -0.4633293,
+              -0.6024868,
+              -0.7692177,
+              -0.9686215,
+              -1.2093384,
+              -1.4958759,
+              -1.8415247,
+              -2.2559644,
+              -2.7521633,
+              -3.3480156,
+              -4.0630692,
+              -4.92096,
+              -5.9503393,
+              -7.1855913,
+              -8.6678869,
+              -10.4467284
+            ],
+            [
+              0.2410917,
+              0.0976806,
+              0.0705303,
+              0.0381667,
+              -0.0013256,
+              -0.0480925,
+              -0.1045155,
+              -0.1721589,
+              -0.2521564,
+              -0.349827,
+              -0.4656546,
+              -0.6047199,
+              -0.7713661,
+              -0.9708657,
+              -1.2109471,
+              -1.4991918,
+              -1.8438263,
+              -2.257783,
+              -2.7543763,
+              -3.3498179,
+              -4.0648143,
+              -4.9233851,
+              -5.9526972,
+              -7.1878693,
+              -8.6702131,
+              -10.4484283
+            ],
+            [
+              0.3001557,
+              0.1501214,
+              0.1222423,
+              0.0885421,
+              0.048208,
+              9.47e-05,
+              -0.0571212,
+              -0.1254177,
+              -0.2069716,
+              -0.3044044,
+              -0.421018,
+              -0.5606512,
+              -0.7278564,
+              -0.9282569,
+              -1.168448,
+              -1.4564834,
+              -1.8018618,
+              -2.215984,
+              -2.7128487,
+              -3.3089124,
+              -4.0240359,
+              -4.8820655,
+              -5.9116382,
+              -7.147009,
+              -8.6294602,
+              -10.4082029
+            ],
+            [
+              0.4333873,
+              0.2743667,
+              0.2450462,
+              0.2103003,
+              0.1686063,
+              0.1185941,
+              0.0591134,
+              -0.0108406,
+              -0.0949409,
+              -0.1942517,
+              -0.3125036,
+              -0.4542161,
+              -0.6221218,
+              -0.8239651,
+              -1.0653698,
+              -1.3545941,
+              -1.7008359,
+              -2.1159826,
+              -2.6137208,
+              -3.2105532,
+              -3.9262622,
+              -4.7848342,
+              -5.8148689,
+              -7.0505714,
+              -8.5333757,
+              -10.312657
+            ],
+            [
+              0.7335171,
+              0.5487548,
+              0.5155383,
+              0.4765916,
+              0.431256,
+              0.3776592,
+              0.3192541,
+              0.2466816,
+              0.1598972,
+              0.05738,
+              -0.0640857,
+              -0.2082442,
+              -0.380707,
+              -0.5856486,
+              -0.8293825,
+              -1.1212238,
+              -1.4700658,
+              -1.8876724,
+              -2.3875814,
+              -2.986385,
+              -3.704218,
+              -4.5649297,
+              -5.5955555,
+              -6.8327616,
+              -8.3161995,
+              -10.0962527
+            ],
+            [
+              1.0215159,
+              0.8311107,
+              0.7965555,
+              0.7557797,
+              0.707994,
+              0.6525784,
+              0.5872441,
+              0.5100063,
+              0.4200036,
+              0.3162399,
+              0.1947849,
+              0.0467519,
+              -0.1279589,
+              -0.3351935,
+              -0.5822852,
+              -0.8764047,
+              -1.2285359,
+              -1.6486382,
+              -2.1503283,
+              -2.7514337,
+              -3.4709007,
+              -4.3327706,
+              -5.3653007,
+              -6.6037211,
+              -8.0889115,
+              -9.8694965
+            ],
+            [
+              1.8145821,
+              1.6143017,
+              1.5764716,
+              1.5345778,
+              1.483636,
+              1.425106,
+              1.3553576,
+              1.2725817,
+              1.1776617,
+              1.0646973,
+              0.9322418,
+              0.776572,
+              0.5929136,
+              0.3772749,
+              0.1267071,
+              -0.1756916,
+              -0.5344312,
+              -0.961112,
+              -1.4701701,
+              -2.077402,
+              -2.803239,
+              -3.6709856,
+              -4.7093705,
+              -5.9507472,
+              -7.4407498,
+              -9.2255519
+            ],
+            [
+              2.2238621,
+              2.0204812,
+              1.9827304,
+              1.9395082,
+              1.8872143,
+              1.8274457,
+              1.7571638,
+              1.6730003,
+              1.5752182,
+              1.4594731,
+              1.3245258,
+              1.1664374,
+              0.9786502,
+              0.7588795,
+              0.5001257,
+              0.1974696,
+              -0.1647828,
+              -0.5955909,
+              -1.1070544,
+              -1.7184915,
+              -2.4476472,
+              -3.3186712,
+              -4.3600684,
+              -5.6062291,
+              -7.0986038,
+              -8.8854849
+            ],
+            [
+              2.6316471,
+              2.424426,
+              2.3870451,
+              2.3423141,
+              2.2900085,
+              2.2293782,
+              2.1569279,
+              2.0719855,
+              1.9736097,
+              1.8552786,
+              1.7177284,
+              1.5564558,
+              1.3662954,
+              1.1422407,
+              0.8797362,
+              0.569484,
+              0.2057285,
+              -0.2274782,
+              -0.7439316,
+              -1.3567088,
+              -2.0901067,
+              -2.9644093,
+              -4.0090265,
+              -5.2579857,
+              -6.7529773,
+              -8.5431621
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_power pwr_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.2502656,
+              0.362985,
+              0.3885501,
+              0.4187906,
+              0.4554728,
+              0.4995408,
+              0.5535931,
+              0.6175901,
+              0.697195,
+              0.7893629,
+              0.9031258,
+              1.0406744,
+              1.2049885,
+              1.4002174,
+              1.6366604,
+              1.9203548,
+              2.2611133,
+              2.6708452,
+              3.1610443,
+              3.7512376,
+              4.4595656,
+              5.3044709,
+              6.3235313,
+              7.5459975,
+              9.012112,
+              10.7704933
+            ],
+            [
+              0.248263,
+              0.3612482,
+              0.3862839,
+              0.4168967,
+              0.453671,
+              0.4980863,
+              0.5511748,
+              0.6160969,
+              0.6933744,
+              0.7876316,
+              0.9028257,
+              1.0391631,
+              1.2012576,
+              1.4009371,
+              1.6349802,
+              1.9186702,
+              2.2603386,
+              2.6689164,
+              3.1641648,
+              3.7499139,
+              4.453939,
+              5.3072593,
+              6.3263877,
+              7.5438605,
+              9.009159,
+              10.7700668
+            ],
+            [
+              0.2456337,
+              0.3592787,
+              0.3838817,
+              0.4143517,
+              0.4510359,
+              0.495238,
+              0.5484406,
+              0.6131058,
+              0.6908742,
+              0.7863737,
+              0.8998718,
+              1.0343521,
+              1.2001996,
+              1.3972391,
+              1.6325065,
+              1.9152379,
+              2.2569756,
+              2.6665597,
+              3.1564041,
+              3.7471244,
+              4.4521005,
+              5.2987943,
+              6.319775,
+              7.5421068,
+              9.0085101,
+              10.7709678
+            ],
+            [
+              0.2484075,
+              0.361735,
+              0.3866073,
+              0.41689,
+              0.4532661,
+              0.4977784,
+              0.5503937,
+              0.6151394,
+              0.6925618,
+              0.7865218,
+              0.9019705,
+              1.0385077,
+              1.2004708,
+              1.3971875,
+              1.6341097,
+              1.9181056,
+              2.2597638,
+              2.6682511,
+              3.1616052,
+              3.749161,
+              4.4533353,
+              5.30168,
+              6.3255282,
+              7.5429125,
+              9.0084079,
+              10.770242
+            ],
+            [
+              0.3141564,
+              0.4212153,
+              0.4451765,
+              0.4743042,
+              0.5103,
+              0.5528475,
+              0.6049955,
+              0.6682777,
+              0.7452792,
+              0.8392312,
+              0.9515726,
+              1.0856475,
+              1.249347,
+              1.4459609,
+              1.6795146,
+              1.9640767,
+              2.3031164,
+              2.7126643,
+              3.204312,
+              3.7913937,
+              4.4962185,
+              5.3500055,
+              6.3626673,
+              7.5857753,
+              9.057951,
+              10.8186055
+            ],
+            [
+              0.4499105,
+              0.5479382,
+              0.5709297,
+              0.5983818,
+              0.6323406,
+              0.6732617,
+              0.723162,
+              0.7844375,
+              0.8592845,
+              0.9498276,
+              1.0592259,
+              1.1948269,
+              1.3558505,
+              1.5485541,
+              1.7817159,
+              2.0664991,
+              2.4029068,
+              2.8107468,
+              3.2994809,
+              3.8883639,
+              4.5919363,
+              5.4457397,
+              6.4609044,
+              7.6803595,
+              9.151888,
+              10.912004
+            ],
+            [
+              0.7468373,
+              0.8313727,
+              0.8517465,
+              0.8753946,
+              0.9069188,
+              0.9438345,
+              0.9897964,
+              1.0488021,
+              1.1216667,
+              1.2098656,
+              1.3170649,
+              1.4459147,
+              1.6032409,
+              1.7918568,
+              2.0210217,
+              2.2977644,
+              2.6328558,
+              3.0374305,
+              3.5274292,
+              4.1132577,
+              4.8115385,
+              5.6589669,
+              6.6736376,
+              7.8932901,
+              9.3581278,
+              11.11632
+            ],
+            [
+              1.041126,
+              1.1188528,
+              1.1382014,
+              1.1621765,
+              1.1911683,
+              1.2275052,
+              1.2733734,
+              1.3278571,
+              1.3950541,
+              1.4788595,
+              1.5829633,
+              1.7085823,
+              1.8629385,
+              2.0510511,
+              2.2780124,
+              2.5507052,
+              2.8806165,
+              3.2801363,
+              3.7632562,
+              4.3456216,
+              5.0435656,
+              5.8895884,
+              6.9024907,
+              8.1215429,
+              9.5826536,
+              11.3377728
+            ],
+            [
+              1.8579116,
+              1.926235,
+              1.942593,
+              1.9648209,
+              1.9920896,
+              2.0243969,
+              2.0646516,
+              2.1160733,
+              2.1819573,
+              2.2608794,
+              2.357647,
+              2.4811446,
+              2.6217069,
+              2.7955291,
+              3.0116177,
+              3.2808855,
+              3.6034799,
+              3.9963536,
+              4.4710335,
+              5.0399346,
+              5.7322493,
+              6.5671235,
+              7.5713764,
+              8.7828613,
+              10.2412357,
+              11.9951021
+            ],
+            [
+              2.2808844,
+              2.3433191,
+              2.3581024,
+              2.3807941,
+              2.4061306,
+              2.4384214,
+              2.4773796,
+              2.5270718,
+              2.5897454,
+              2.6637477,
+              2.7595402,
+              2.8804285,
+              3.0241334,
+              3.1983231,
+              3.402292,
+              3.6619055,
+              3.9849879,
+              4.3725808,
+              4.841357,
+              5.4117524,
+              6.099609,
+              6.928694,
+              7.9313065,
+              9.1353331,
+              10.586497,
+              12.3352261
+            ],
+            [
+              2.6996746,
+              2.7612421,
+              2.7783031,
+              2.7976113,
+              2.8219477,
+              2.8517162,
+              2.8908123,
+              2.9385439,
+              2.9991404,
+              3.0751967,
+              3.1649195,
+              3.28321,
+              3.4244625,
+              3.5968153,
+              3.8064293,
+              4.0567126,
+              4.3673429,
+              4.7556312,
+              5.2215963,
+              5.7856991,
+              6.4692695,
+              7.2958045,
+              8.2894361,
+              9.4922657,
+              10.9382444,
+              12.6822473
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power pwr_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.2857249,
+              0.139224,
+              0.1125987,
+              0.0790754,
+              0.0396889,
+              -0.0080257,
+              -0.0642088,
+              -0.1323048,
+              -0.2133262,
+              -0.3107065,
+              -0.4269085,
+              -0.565702,
+              -0.7330443,
+              -0.9329703,
+              -1.1734809,
+              -1.4612508,
+              -1.8061687,
+              -2.2205175,
+              -2.7172916,
+              -3.3132666,
+              -4.0282411,
+              -4.8875665,
+              -5.9155593,
+              -7.1506612,
+              -8.6332101,
+              -10.4111886
+            ],
+            [
+              0.2890089,
+              0.1428077,
+              0.1159746,
+              0.082599,
+              0.0425226,
+              -0.0050236,
+              -0.0616929,
+              -0.1293215,
+              -0.2107328,
+              -0.3079779,
+              -0.4239486,
+              -0.5635588,
+              -0.7306846,
+              -0.9305378,
+              -1.1706139,
+              -1.4583999,
+              -1.8034203,
+              -2.2182621,
+              -2.7140392,
+              -3.3105906,
+              -4.0253066,
+              -4.8836115,
+              -5.912928,
+              -7.1478356,
+              -8.6304474,
+              -10.4087346
+            ],
+            [
+              0.2875522,
+              0.1411093,
+              0.1136551,
+              0.0812665,
+              0.0414906,
+              -0.0059942,
+              -0.0625009,
+              -0.1310529,
+              -0.2117911,
+              -0.308906,
+              -0.4253249,
+              -0.5639543,
+              -0.7318189,
+              -0.9320041,
+              -1.1715311,
+              -1.4595725,
+              -1.8049897,
+              -2.2187168,
+              -2.7154963,
+              -3.3114564,
+              -4.0265288,
+              -4.8844578,
+              -5.9138285,
+              -7.1490917,
+              -8.6310061,
+              -10.4097174
+            ],
+            [
+              0.288895,
+              0.1422128,
+              0.1145787,
+              0.0819582,
+              0.0420011,
+              -0.0054604,
+              -0.0620078,
+              -0.1300037,
+              -0.2112045,
+              -0.3086068,
+              -0.4249572,
+              -0.5640626,
+              -0.7314113,
+              -0.931488,
+              -1.1714648,
+              -1.4588258,
+              -1.8044541,
+              -2.2183141,
+              -2.7151058,
+              -3.3108638,
+              -4.0260447,
+              -4.8840764,
+              -5.9134857,
+              -7.148725,
+              -8.6309179,
+              -10.4096422
+            ],
+            [
+              0.3541604,
+              0.2017421,
+              0.173445,
+              0.1396046,
+              0.0988582,
+              0.0501987,
+              -0.0072051,
+              -0.0760367,
+              -0.1581381,
+              -0.256058,
+              -0.3730813,
+              -0.5131183,
+              -0.6806704,
+              -0.8811332,
+              -1.1221481,
+              -1.4103468,
+              -1.7556751,
+              -2.1702541,
+              -2.6675113,
+              -3.2635541,
+              -3.9787983,
+              -4.8369753,
+              -5.8664019,
+              -7.101833,
+              -8.5843602,
+              -10.3631626
+            ],
+            [
+              0.4997449,
+              0.3416424,
+              0.3122144,
+              0.2770002,
+              0.2343752,
+              0.1834632,
+              0.1244517,
+              0.0540892,
+              -0.0302137,
+              -0.130146,
+              -0.2491876,
+              -0.3913135,
+              -0.5607833,
+              -0.763262,
+              -1.0051807,
+              -1.2948497,
+              -1.6415634,
+              -2.0569573,
+              -2.5549709,
+              -3.1520567,
+              -3.8680713,
+              -4.7267965,
+              -5.7569851,
+              -6.9928108,
+              -8.4757088,
+              -10.2547792
+            ],
+            [
+              0.8393313,
+              0.6522949,
+              0.6182932,
+              0.5786559,
+              0.532403,
+              0.4808202,
+              0.4209062,
+              0.3473445,
+              0.2597942,
+              0.1562111,
+              0.0336122,
+              -0.1124714,
+              -0.28534,
+              -0.4915794,
+              -0.737086,
+              -1.0297597,
+              -1.3797129,
+              -1.7982678,
+              -2.2987444,
+              -2.8984135,
+              -3.6163108,
+              -4.4775262,
+              -5.5097947,
+              -6.7468486,
+              -8.2314661,
+              -10.0114474
+            ],
+            [
+              1.165129,
+              0.9730677,
+              0.9385407,
+              0.897125,
+              0.8489667,
+              0.7919594,
+              0.7254686,
+              0.6480992,
+              0.55689,
+              0.4538833,
+              0.3277078,
+              0.1792616,
+              0.0021687,
+              -0.2071747,
+              -0.456122,
+              -0.7523356,
+              -1.1055153,
+              -1.5270492,
+              -2.0308015,
+              -2.6329189,
+              -3.3535027,
+              -4.2165743,
+              -5.2505268,
+              -6.4897083,
+              -7.9758099,
+              -9.7572595
+            ],
+            [
+              2.0699265,
+              1.8663274,
+              1.8289316,
+              1.7856385,
+              1.7350651,
+              1.6740166,
+              1.6019493,
+              1.5190213,
+              1.4211665,
+              1.3065927,
+              1.1718182,
+              1.0141594,
+              0.8286396,
+              0.6117207,
+              0.3555877,
+              0.0506056,
+              -0.3109352,
+              -0.7418287,
+              -1.2542863,
+              -1.8650042,
+              -2.5938115,
+              -3.4644373,
+              -4.5054302,
+              -5.7515298,
+              -7.243248,
+              -9.0301763
+            ],
+            [
+              2.5349964,
+              2.3317423,
+              2.2916587,
+              2.2478641,
+              2.1947037,
+              2.1331646,
+              2.060523,
+              1.9754964,
+              1.8747461,
+              1.757842,
+              1.6193926,
+              1.4593956,
+              1.2696405,
+              1.0460641,
+              0.7837795,
+              0.4775644,
+              0.1109967,
+              -0.3233843,
+              -0.8391823,
+              -1.4561212,
+              -2.18739,
+              -3.0625681,
+              -4.1079726,
+              -5.3574917,
+              -6.8526265,
+              -8.6429253
+            ],
+            [
+              2.998062,
+              2.7892637,
+              2.7508686,
+              2.7057799,
+              2.6530645,
+              2.589973,
+              2.5171155,
+              2.4297904,
+              2.3303435,
+              2.2101651,
+              2.0707679,
+              1.9057847,
+              1.7110822,
+              1.4836073,
+              1.2172722,
+              0.9028915,
+              0.5359984,
+              0.0963194,
+              -0.4241833,
+              -1.0424383,
+              -1.7816141,
+              -2.6612644,
+              -3.710675,
+              -4.9640231,
+              -6.4631646,
+              -8.2564221
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_power pwr_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.244896,
+              0.357463,
+              0.3836705,
+              0.4134428,
+              0.4499251,
+              0.4939917,
+              0.5479946,
+              0.6119807,
+              0.6902071,
+              0.7855418,
+              0.8991106,
+              1.0334571,
+              1.197852,
+              1.3978376,
+              1.6314694,
+              1.9144707,
+              2.2574169,
+              2.6642252,
+              3.15795,
+              3.7468767,
+              4.4505566,
+              5.3034297,
+              6.3157733,
+              7.5394633,
+              9.0071457,
+              10.7743134
+            ],
+            [
+              0.2442325,
+              0.3573586,
+              0.3822817,
+              0.4130367,
+              0.4496404,
+              0.4940726,
+              0.5472239,
+              0.6122923,
+              0.6894186,
+              0.7834617,
+              0.8990065,
+              1.035222,
+              1.1979364,
+              1.3931175,
+              1.6330204,
+              1.9150665,
+              2.2565551,
+              2.6650613,
+              3.1558607,
+              3.7447026,
+              4.4500889,
+              5.3039176,
+              6.3231393,
+              7.5436868,
+              9.006356,
+              10.7667634
+            ],
+            [
+              0.2415766,
+              0.3547075,
+              0.3799373,
+              0.410183,
+              0.4469842,
+              0.4911119,
+              0.5444252,
+              0.6092515,
+              0.6868776,
+              0.7825411,
+              0.8957887,
+              1.0303605,
+              1.1962593,
+              1.3929858,
+              1.6285277,
+              1.9127147,
+              2.2528889,
+              2.662754,
+              3.1520938,
+              3.7429168,
+              4.4478734,
+              5.2950038,
+              6.315638,
+              7.5373056,
+              9.0038797,
+              10.765864
+            ],
+            [
+              0.2427738,
+              0.3557761,
+              0.3805369,
+              0.4109254,
+              0.4472661,
+              0.4918432,
+              0.5447858,
+              0.6092258,
+              0.6866571,
+              0.7809078,
+              0.896485,
+              1.0328465,
+              1.1952514,
+              1.3920185,
+              1.6308935,
+              1.9116394,
+              2.2543324,
+              2.6627008,
+              3.1535078,
+              3.7425293,
+              4.4481871,
+              5.2968158,
+              6.3169609,
+              7.5404492,
+              9.0041462,
+              10.7653672
+            ],
+            [
+              0.3087289,
+              0.4155947,
+              0.4396877,
+              0.4690289,
+              0.5045821,
+              0.5478025,
+              0.5999087,
+              0.6633187,
+              0.7402223,
+              0.8345946,
+              0.947103,
+              1.0805299,
+              1.2451975,
+              1.4420567,
+              1.6753921,
+              1.9598921,
+              2.2988391,
+              2.708815,
+              3.2001099,
+              3.7874059,
+              4.4928573,
+              5.3462272,
+              6.3587833,
+              7.5818698,
+              9.0536169,
+              10.8142516
+            ],
+            [
+              0.4570947,
+              0.5555426,
+              0.5778221,
+              0.6066279,
+              0.6408471,
+              0.6816065,
+              0.7316603,
+              0.7921612,
+              0.8661465,
+              0.9569934,
+              1.0670883,
+              1.200249,
+              1.3619684,
+              1.5564991,
+              1.7911425,
+              2.0728437,
+              2.4093524,
+              2.8198358,
+              3.3052596,
+              3.8935774,
+              4.6033842,
+              5.4507904,
+              6.4624697,
+              7.6852258,
+              9.1562399,
+              10.9162006
+            ],
+            [
+              0.7847258,
+              0.8698393,
+              0.8902141,
+              0.9154867,
+              0.9448646,
+              0.9816213,
+              1.0281681,
+              1.0872856,
+              1.1562682,
+              1.2441029,
+              1.3513986,
+              1.4809946,
+              1.6374639,
+              1.8247078,
+              2.0552674,
+              2.3313262,
+              2.6668812,
+              3.0693662,
+              3.5561291,
+              4.1418034,
+              4.8425099,
+              5.6897647,
+              6.7107212,
+              7.9286254,
+              9.3964544,
+              11.1469056
+            ],
+            [
+              1.1115829,
+              1.1911298,
+              1.2102779,
+              1.2338582,
+              1.2618782,
+              1.2975315,
+              1.3433678,
+              1.3987516,
+              1.4653773,
+              1.5473676,
+              1.6473485,
+              1.7759865,
+              1.9290743,
+              2.1154202,
+              2.3412695,
+              2.6144772,
+              2.9440092,
+              3.3428114,
+              3.823519,
+              4.4069052,
+              5.1071789,
+              5.9496559,
+              6.9679123,
+              8.1845523,
+              9.6480845,
+              11.4048439
+            ],
+            [
+              2.0265284,
+              2.0958512,
+              2.1128132,
+              2.1340802,
+              2.1619319,
+              2.1970984,
+              2.2347803,
+              2.2837944,
+              2.3490025,
+              2.4223327,
+              2.5184266,
+              2.6351629,
+              2.7804347,
+              2.9520385,
+              3.1662589,
+              3.4299193,
+              3.7535885,
+              4.1428246,
+              4.6172559,
+              5.1882358,
+              5.8782395,
+              6.7104811,
+              7.7142162,
+              8.9229723,
+              10.3767883,
+              12.1337047
+            ],
+            [
+              2.4956453,
+              2.5631215,
+              2.5790005,
+              2.6043029,
+              2.6270861,
+              2.6585122,
+              2.6939406,
+              2.7443287,
+              2.8027432,
+              2.8791915,
+              2.9747403,
+              3.0879044,
+              3.2302222,
+              3.4003753,
+              3.6070158,
+              3.8590711,
+              4.1774349,
+              4.5663888,
+              5.0364263,
+              5.6024316,
+              6.289193,
+              7.118582,
+              8.1146925,
+              9.3187328,
+              10.7685538,
+              12.5157174
+            ],
+            [
+              2.9716546,
+              3.0346279,
+              3.0513211,
+              3.0701231,
+              3.094735,
+              3.1301873,
+              3.1683716,
+              3.2126233,
+              3.2704275,
+              3.3404453,
+              3.4312121,
+              3.5456377,
+              3.6819902,
+              3.8521402,
+              4.0646802,
+              4.3105638,
+              4.6186799,
+              4.9931081,
+              5.4623459,
+              6.0228161,
+              6.7066232,
+              7.5306555,
+              8.5228503,
+              9.7232883,
+              11.1677881,
+              12.9104857
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power pwr_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.1922587,
+              0.05711,
+              0.0304123,
+              -0.0011466,
+              -0.0402068,
+              -0.0868607,
+              -0.1429465,
+              -0.2089001,
+              -0.2900898,
+              -0.3866987,
+              -0.5016681,
+              -0.6411299,
+              -0.8069532,
+              -1.0066789,
+              -1.246626,
+              -1.5327416,
+              -1.8775082,
+              -2.2897284,
+              -2.7882415,
+              -3.3841749,
+              -4.0974806,
+              -4.9575641,
+              -5.9818799,
+              -7.220587,
+              -8.707085,
+              -10.4846281
+            ],
+            [
+              0.197493,
+              0.0613687,
+              0.0348912,
+              0.0025765,
+              -0.0360146,
+              -0.0827356,
+              -0.138383,
+              -0.2049138,
+              -0.2854828,
+              -0.3819958,
+              -0.4978306,
+              -0.63645,
+              -0.8025634,
+              -1.0027649,
+              -1.2416667,
+              -1.5292751,
+              -1.8738161,
+              -2.2878105,
+              -2.7842396,
+              -3.3798691,
+              -4.0947733,
+              -4.9525673,
+              -5.9818245,
+              -7.2169802,
+              -8.6991366,
+              -10.477737
+            ],
+            [
+              0.1964295,
+              0.0601977,
+              0.0332673,
+              0.0012439,
+              -0.0378892,
+              -0.0842028,
+              -0.1401238,
+              -0.2072164,
+              -0.2876432,
+              -0.3835276,
+              -0.499591,
+              -0.6381653,
+              -0.8044098,
+              -1.0042001,
+              -1.2437578,
+              -1.530702,
+              -1.8762092,
+              -2.2889572,
+              -2.7860004,
+              -3.3816528,
+              -4.0967756,
+              -4.9542974,
+              -5.9837895,
+              -7.2185861,
+              -8.7003092,
+              -10.4789157
+            ],
+            [
+              0.2107165,
+              0.0727045,
+              0.0458483,
+              0.0132548,
+              -0.0253787,
+              -0.0719546,
+              -0.12764,
+              -0.1950559,
+              -0.2755296,
+              -0.3714009,
+              -0.4873154,
+              -0.6258978,
+              -0.7924767,
+              -0.9920104,
+              -1.2316448,
+              -1.5186789,
+              -1.8639902,
+              -2.2773809,
+              -2.7737428,
+              -3.3693215,
+              -4.0842228,
+              -4.9420755,
+              -5.9712116,
+              -7.2064176,
+              -8.6886382,
+              -10.4671449
+            ],
+            [
+              0.2970405,
+              0.1507789,
+              0.1229238,
+              0.0896837,
+              0.049674,
+              0.0019714,
+              -0.0546985,
+              -0.1224768,
+              -0.2038193,
+              -0.3008468,
+              -0.4170499,
+              -0.5562482,
+              -0.7231481,
+              -0.9231696,
+              -1.1630495,
+              -1.4506038,
+              -1.7955933,
+              -2.2095311,
+              -2.7061238,
+              -3.3019847,
+              -4.016988,
+              -4.8748454,
+              -5.9042078,
+              -7.1394069,
+              -8.6217134,
+              -10.4003381
+            ],
+            [
+              0.4404398,
+              0.2825322,
+              0.253869,
+              0.2191415,
+              0.1779748,
+              0.1290982,
+              0.0713361,
+              0.0021325,
+              -0.0803912,
+              -0.1787205,
+              -0.295955,
+              -0.4359283,
+              -0.6036631,
+              -0.8045465,
+              -1.0452542,
+              -1.3335734,
+              -1.679088,
+              -2.0932741,
+              -2.5905621,
+              -3.186849,
+              -3.9023482,
+              -4.7603669,
+              -5.7894063,
+              -7.025641,
+              -8.5079089,
+              -10.2872093
+            ],
+            [
+              0.7404036,
+              0.5591253,
+              0.5276142,
+              0.4899974,
+              0.4457734,
+              0.3934635,
+              0.335979,
+              0.265949,
+              0.1812643,
+              0.0806267,
+              -0.0390428,
+              -0.1809557,
+              -0.3512181,
+              -0.5543404,
+              -0.7969469,
+              -1.0869578,
+              -1.4340246,
+              -1.8500674,
+              -2.3484737,
+              -2.9457927,
+              -3.6624421,
+              -4.5210885,
+              -5.550988,
+              -6.7874134,
+              -8.2703101,
+              -10.0500503
+            ],
+            [
+              1.0379778,
+              0.8489657,
+              0.8158801,
+              0.7762182,
+              0.7294079,
+              0.6740783,
+              0.6113772,
+              0.5354522,
+              0.4473847,
+              0.3469275,
+              0.2264282,
+              0.0812982,
+              -0.0908438,
+              -0.2951039,
+              -0.539149,
+              -0.8316452,
+              -1.1806521,
+              -1.5980615,
+              -2.0978713,
+              -2.6963501,
+              -3.4137227,
+              -4.2737929,
+              -5.3049972,
+              -6.5417882,
+              -8.0255233,
+              -9.8051741
+            ],
+            [
+              1.8530913,
+              1.650859,
+              1.6166643,
+              1.5734783,
+              1.5231175,
+              1.465394,
+              1.3991489,
+              1.3187581,
+              1.2234546,
+              1.1132246,
+              0.9830488,
+              0.8299178,
+              0.6492743,
+              0.4381232,
+              0.1880197,
+              -0.1101989,
+              -0.4637742,
+              -0.8850235,
+              -1.3886444,
+              -1.9931819,
+              -2.7121616,
+              -3.5755866,
+              -4.6097534,
+              -5.8493539,
+              -7.335305,
+              -9.1174026
+            ],
+            [
+              2.2736485,
+              2.0680276,
+              2.0350271,
+              1.9890747,
+              1.9378926,
+              1.8792067,
+              1.8094803,
+              1.7282348,
+              1.6318321,
+              1.5187667,
+              1.3860336,
+              1.2299218,
+              1.0464864,
+              0.8287928,
+              0.5721067,
+              0.2735032,
+              -0.0864679,
+              -0.5109992,
+              -1.0176232,
+              -1.6232444,
+              -2.3464207,
+              -3.2116834,
+              -4.2477102,
+              -5.4888051,
+              -6.9764285,
+              -8.759443
+            ],
+            [
+              2.6958593,
+              2.4876378,
+              2.4493807,
+              2.4056284,
+              2.354155,
+              2.2947648,
+              2.2229732,
+              2.1403841,
+              2.0418735,
+              1.9247383,
+              1.7885339,
+              1.6308732,
+              1.4434034,
+              1.2232918,
+              0.9632471,
+              0.655989,
+              0.2966223,
+              -0.1339044,
+              -0.6440472,
+              -1.2507535,
+              -1.9764522,
+              -2.8437322,
+              -3.8816031,
+              -5.1244662,
+              -6.6135823,
+              -8.3982438
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power pwr_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.109496,
+              0.2384042,
+              0.2646821,
+              0.2967909,
+              0.3340077,
+              0.3814767,
+              0.4349128,
+              0.5015055,
+              0.5803935,
+              0.6767522,
+              0.7883271,
+              0.9205083,
+              1.0886975,
+              1.2896887,
+              1.5273005,
+              1.8098622,
+              2.1517567,
+              2.5599437,
+              3.0529913,
+              3.6429583,
+              4.3539791,
+              5.1978385,
+              6.2195231,
+              7.4395627,
+              8.9016363,
+              10.6658692
+            ],
+            [
+              0.1186548,
+              0.2470826,
+              0.27344,
+              0.3049397,
+              0.3427988,
+              0.3891321,
+              0.4429426,
+              0.5087634,
+              0.5889138,
+              0.6834468,
+              0.7995015,
+              0.935239,
+              1.1020843,
+              1.2954636,
+              1.5344467,
+              1.8173244,
+              2.1609781,
+              2.568324,
+              3.0648046,
+              3.6485026,
+              4.3537168,
+              5.202613,
+              6.223535,
+              7.4432775,
+              8.9103187,
+              10.6757536
+            ],
+            [
+              0.1185115,
+              0.2468864,
+              0.2731398,
+              0.3049072,
+              0.3422731,
+              0.3882461,
+              0.4430181,
+              0.5104986,
+              0.5878569,
+              0.6851422,
+              0.7991538,
+              0.9353534,
+              1.0987428,
+              1.2949091,
+              1.5354859,
+              1.8182044,
+              2.1601676,
+              2.568996,
+              3.0619805,
+              3.6500425,
+              4.3597863,
+              5.2042533,
+              6.2220304,
+              7.444541,
+              8.917827,
+              10.6730506
+            ],
+            [
+              0.133215,
+              0.25886,
+              0.2847104,
+              0.3160814,
+              0.3533958,
+              0.398684,
+              0.4538173,
+              0.519237,
+              0.5995772,
+              0.6931441,
+              0.8099861,
+              0.9450799,
+              1.1103235,
+              1.3060451,
+              1.5440294,
+              1.8277226,
+              2.1702335,
+              2.5815691,
+              3.0692873,
+              3.6643983,
+              4.3635307,
+              5.2138844,
+              6.2378765,
+              7.4549632,
+              8.92604,
+              10.6829151
+            ],
+            [
+              0.2213358,
+              0.3341026,
+              0.3585977,
+              0.3885627,
+              0.4249307,
+              0.4687169,
+              0.5217392,
+              0.5887727,
+              0.6653967,
+              0.7610109,
+              0.87307,
+              1.0080161,
+              1.1729482,
+              1.3697233,
+              1.6058172,
+              1.8901603,
+              2.2318945,
+              2.6387864,
+              3.1323127,
+              3.7238428,
+              4.4273735,
+              5.2812964,
+              6.2911585,
+              7.5189995,
+              8.9803879,
+              10.7472723
+            ],
+            [
+              0.3744589,
+              0.4743168,
+              0.4959406,
+              0.5245724,
+              0.5583792,
+              0.6006524,
+              0.6525775,
+              0.7164928,
+              0.7924035,
+              0.8845817,
+              0.9953707,
+              1.1294204,
+              1.2935497,
+              1.4871024,
+              1.7243488,
+              2.0060597,
+              2.3453396,
+              2.755696,
+              3.2439834,
+              3.8351338,
+              4.5387286,
+              5.3903878,
+              6.4038897,
+              7.6304479,
+              9.0947593,
+              10.8518963
+            ],
+            [
+              0.6817567,
+              0.7689889,
+              0.7907688,
+              0.8167828,
+              0.8500373,
+              0.8901752,
+              0.9360369,
+              0.9933781,
+              1.0669955,
+              1.1593257,
+              1.2675381,
+              1.4011928,
+              1.5601573,
+              1.7534305,
+              1.9832268,
+              2.2625766,
+              2.5994216,
+              3.008189,
+              3.4946443,
+              4.0863288,
+              4.7891451,
+              5.6355121,
+              6.6462987,
+              7.8726817,
+              9.3401017,
+              11.0937728
+            ],
+            [
+              0.9882316,
+              1.0682946,
+              1.0883912,
+              1.1129564,
+              1.1433522,
+              1.1825185,
+              1.2304668,
+              1.2860159,
+              1.3566785,
+              1.4426029,
+              1.543793,
+              1.6753298,
+              1.8315433,
+              2.023589,
+              2.2540975,
+              2.5336609,
+              2.8660036,
+              3.2668933,
+              3.7505573,
+              4.3333889,
+              5.0421315,
+              5.887968,
+              6.9007144,
+              8.122042,
+              9.5798821,
+              11.3376895
+            ],
+            [
+              1.8322246,
+              1.8993586,
+              1.9176968,
+              1.9394843,
+              1.970402,
+              2.003344,
+              2.047553,
+              2.101649,
+              2.1689396,
+              2.2501155,
+              2.3510287,
+              2.4700939,
+              2.6220175,
+              2.8010498,
+              3.0236477,
+              3.2912849,
+              3.6177024,
+              4.0123391,
+              4.4938452,
+              5.0732062,
+              5.7697771,
+              6.6085099,
+              7.6222425,
+              8.8345445,
+              10.2884691,
+              12.0474432
+            ],
+            [
+              2.2650896,
+              2.3285647,
+              2.3467467,
+              2.3681756,
+              2.3983203,
+              2.4294213,
+              2.4716945,
+              2.5244737,
+              2.588343,
+              2.6671903,
+              2.7670089,
+              2.8863787,
+              3.0319304,
+              3.2124954,
+              3.4307917,
+              3.6948872,
+              4.0173002,
+              4.4046273,
+              4.8865059,
+              5.4589066,
+              6.1548578,
+              6.9895952,
+              7.9961109,
+              9.2063606,
+              10.6663655,
+              12.4110724
+            ],
+            [
+              2.6972601,
+              2.764534,
+              2.7771012,
+              2.7999453,
+              2.8245793,
+              2.85871,
+              2.8941282,
+              2.949227,
+              3.0099282,
+              3.0891767,
+              3.1848449,
+              3.3029969,
+              3.4501329,
+              3.6278577,
+              3.8469782,
+              4.1077623,
+              4.4144752,
+              4.8037417,
+              5.2801334,
+              5.8479311,
+              6.5401322,
+              7.3734012,
+              8.3792923,
+              9.5861905,
+              11.0359416,
+              12.7897853
+            ]
+          ]
+        },
+        "when": "(!A1&!A2)"
+      },
+      {
+        "fall_power pwr_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.20541,
+              0.0643791,
+              0.0374385,
+              0.0047834,
+              -0.0343813,
+              -0.0814063,
+              -0.137695,
+              -0.2052581,
+              -0.2861737,
+              -0.3823082,
+              -0.4983508,
+              -0.6374471,
+              -0.8033049,
+              -1.0034152,
+              -1.2432655,
+              -1.5344643,
+              -1.8782951,
+              -2.2909118,
+              -2.7857169,
+              -3.383109,
+              -4.0967253,
+              -4.9549149,
+              -5.9867605,
+              -7.2210536,
+              -8.707392,
+              -10.4861095
+            ],
+            [
+              0.2102886,
+              0.0689324,
+              0.0418223,
+              0.0091587,
+              -0.0301229,
+              -0.0776763,
+              -0.133267,
+              -0.2010397,
+              -0.281408,
+              -0.3786892,
+              -0.4946619,
+              -0.6336684,
+              -0.8003982,
+              -1.0000418,
+              -1.2402963,
+              -1.5277018,
+              -1.8726703,
+              -2.2867242,
+              -2.7834555,
+              -3.3789877,
+              -4.0941064,
+              -4.9523935,
+              -5.9813383,
+              -7.2164879,
+              -8.6980099,
+              -10.4787535
+            ],
+            [
+              0.2085168,
+              0.0669028,
+              0.0397989,
+              0.0069664,
+              -0.0322298,
+              -0.078979,
+              -0.1357111,
+              -0.2029665,
+              -0.2839104,
+              -0.3808084,
+              -0.4965298,
+              -0.6350918,
+              -0.802673,
+              -1.0023496,
+              -1.2423697,
+              -1.5296705,
+              -1.8746101,
+              -2.2884609,
+              -2.7853473,
+              -3.3811623,
+              -4.0960419,
+              -4.9539678,
+              -5.9835402,
+              -7.2182322,
+              -8.7010214,
+              -10.478987
+            ],
+            [
+              0.2195402,
+              0.0762713,
+              0.0491831,
+              0.0162353,
+              -0.0231044,
+              -0.0696366,
+              -0.1261409,
+              -0.1935901,
+              -0.2740698,
+              -0.3711965,
+              -0.4870064,
+              -0.6260629,
+              -0.7927046,
+              -0.9928112,
+              -1.2322932,
+              -1.5198499,
+              -1.8650676,
+              -2.2789263,
+              -2.7756304,
+              -3.3712362,
+              -4.0866763,
+              -4.9438619,
+              -5.9740418,
+              -7.2089277,
+              -8.6911483,
+              -10.469558
+            ],
+            [
+              0.2964853,
+              0.1469603,
+              0.1191911,
+              0.0854392,
+              0.0452197,
+              -0.0025032,
+              -0.0596781,
+              -0.1280437,
+              -0.2095375,
+              -0.3069502,
+              -0.4234811,
+              -0.5629321,
+              -0.7302387,
+              -0.9307131,
+              -1.1707973,
+              -1.4585291,
+              -1.80397,
+              -2.2181262,
+              -2.7149539,
+              -3.3108203,
+              -4.0261704,
+              -4.8840642,
+              -5.9134731,
+              -7.1488981,
+              -8.6311885,
+              -10.409684
+            ],
+            [
+              0.4178289,
+              0.2663737,
+              0.2375338,
+              0.2030708,
+              0.1618455,
+              0.1130865,
+              0.0551035,
+              -0.0142847,
+              -0.0977485,
+              -0.1962509,
+              -0.3138062,
+              -0.4541955,
+              -0.6223375,
+              -0.8234747,
+              -1.0643523,
+              -1.3528898,
+              -1.6987054,
+              -2.113299,
+              -2.6106535,
+              -3.2069683,
+              -3.9222148,
+              -4.7808194,
+              -5.8104178,
+              -7.0457868,
+              -8.5283952,
+              -10.3069601
+            ],
+            [
+              0.702942,
+              0.5202427,
+              0.4878675,
+              0.449886,
+              0.4053438,
+              0.3572561,
+              0.2985339,
+              0.2274913,
+              0.141721,
+              0.0407309,
+              -0.079392,
+              -0.2222913,
+              -0.3924184,
+              -0.5954774,
+              -0.8384788,
+              -1.1286195,
+              -1.4760413,
+              -1.8922705,
+              -2.390635,
+              -2.9883004,
+              -3.7050246,
+              -4.5637919,
+              -5.5943271,
+              -6.8304806,
+              -8.3137052,
+              -10.0928408
+            ],
+            [
+              0.9733538,
+              0.7835285,
+              0.7493455,
+              0.7099161,
+              0.6634277,
+              0.6084293,
+              0.543963,
+              0.4689551,
+              0.3840394,
+              0.2833441,
+              0.1611186,
+              0.0166747,
+              -0.1557616,
+              -0.3605625,
+              -0.6052844,
+              -0.8975063,
+              -1.2468235,
+              -1.6645827,
+              -2.1647306,
+              -2.7634885,
+              -3.4812081,
+              -4.3412946,
+              -5.3727426,
+              -6.6098589,
+              -8.0933659,
+              -9.8737271
+            ],
+            [
+              1.7230459,
+              1.52148,
+              1.486307,
+              1.4433694,
+              1.393749,
+              1.3350126,
+              1.2668886,
+              1.1859523,
+              1.0909903,
+              0.9808851,
+              0.8509531,
+              0.697364,
+              0.5174266,
+              0.3087667,
+              0.057463,
+              -0.2398381,
+              -0.5936174,
+              -1.0168689,
+              -1.5212522,
+              -2.1241291,
+              -2.8456351,
+              -3.7087476,
+              -4.7424595,
+              -5.9822946,
+              -7.4685415,
+              -9.2504926
+            ],
+            [
+              2.109311,
+              1.903089,
+              1.8667347,
+              1.8230112,
+              1.7725919,
+              1.7129293,
+              1.6440966,
+              1.5611416,
+              1.4636797,
+              1.3504268,
+              1.2174927,
+              1.0615346,
+              0.8777626,
+              0.6607541,
+              0.408388,
+              0.1072227,
+              -0.249829,
+              -0.67527,
+              -1.1824457,
+              -1.7876799,
+              -2.5112523,
+              -3.3768213,
+              -4.413102,
+              -5.6543995,
+              -7.1420784,
+              -8.9254294
+            ],
+            [
+              2.4946574,
+              2.2870511,
+              2.2493413,
+              2.204117,
+              2.153436,
+              2.0916974,
+              2.0202216,
+              1.9365407,
+              1.8391919,
+              1.7231058,
+              1.5876153,
+              1.4286592,
+              1.2416916,
+              1.0213841,
+              0.7615499,
+              0.4580575,
+              0.0974387,
+              -0.3313698,
+              -0.8407681,
+              -1.4490165,
+              -2.1732008,
+              -3.0409133,
+              -4.0789967,
+              -5.3220692,
+              -6.8114933,
+              -8.5964051
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power pwr_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.109272,
+              0.2373315,
+              0.2643165,
+              0.2968877,
+              0.3293107,
+              0.3781094,
+              0.4331507,
+              0.5039643,
+              0.5812846,
+              0.6689456,
+              0.7904017,
+              0.928227,
+              1.0923578,
+              1.2884463,
+              1.5237737,
+              1.8111848,
+              2.1539439,
+              2.5634011,
+              3.0495911,
+              3.6422463,
+              4.3543973,
+              5.1989752,
+              6.2129737,
+              7.4359556,
+              8.9043555,
+              10.6770673
+            ],
+            [
+              0.1201229,
+              0.2487576,
+              0.2747187,
+              0.3062392,
+              0.3438842,
+              0.3898354,
+              0.445484,
+              0.5121592,
+              0.5896342,
+              0.6866679,
+              0.7990027,
+              0.938288,
+              1.1000011,
+              1.3013094,
+              1.5366462,
+              1.8211854,
+              2.16157,
+              2.5716365,
+              3.0624681,
+              3.6520661,
+              4.3637593,
+              5.205617,
+              6.2274231,
+              7.4459649,
+              8.9153104,
+              10.6711407
+            ],
+            [
+              0.1208768,
+              0.2494126,
+              0.2757132,
+              0.3075748,
+              0.3450782,
+              0.3911069,
+              0.4461243,
+              0.5125024,
+              0.5906328,
+              0.6858692,
+              0.8019383,
+              0.9380877,
+              1.1015012,
+              1.3009906,
+              1.5365164,
+              1.8216549,
+              2.1618839,
+              2.5722423,
+              3.0653835,
+              3.6533999,
+              4.3663854,
+              5.2106925,
+              6.22408,
+              7.4508982,
+              8.9123759,
+              10.6703694
+            ],
+            [
+              0.135321,
+              0.260955,
+              0.2871151,
+              0.3184837,
+              0.3562843,
+              0.4014459,
+              0.4562035,
+              0.521836,
+              0.6026978,
+              0.6955988,
+              0.8124807,
+              0.9475038,
+              1.1131441,
+              1.3087213,
+              1.5466526,
+              1.8302083,
+              2.1729504,
+              2.584333,
+              3.0725022,
+              3.6644683,
+              4.3732742,
+              5.2204403,
+              6.2402682,
+              7.4572861,
+              8.9285432,
+              10.6847907
+            ],
+            [
+              0.2153837,
+              0.3279385,
+              0.3523795,
+              0.3823509,
+              0.4187757,
+              0.4631149,
+              0.5159585,
+              0.5805797,
+              0.6583999,
+              0.7541149,
+              0.8666418,
+              1.0057506,
+              1.1673665,
+              1.3635669,
+              1.6001499,
+              1.8835415,
+              2.2297825,
+              2.6332944,
+              3.1257968,
+              3.7210409,
+              4.4265833,
+              5.2686466,
+              6.2862728,
+              7.513405,
+              8.973939,
+              10.7417232
+            ],
+            [
+              0.3566908,
+              0.4573594,
+              0.4792908,
+              0.5078958,
+              0.5426317,
+              0.5826722,
+              0.6351361,
+              0.7006773,
+              0.7767536,
+              0.8691842,
+              0.9787055,
+              1.1126926,
+              1.2758099,
+              1.4715706,
+              1.7066264,
+              1.9900391,
+              2.3292054,
+              2.7360514,
+              3.2335487,
+              3.815308,
+              4.5287794,
+              5.3721698,
+              6.3854441,
+              7.6117302,
+              9.0766754,
+              10.8336072
+            ],
+            [
+              0.6422813,
+              0.7304888,
+              0.7517849,
+              0.777943,
+              0.8109171,
+              0.8480118,
+              0.8961926,
+              0.9532962,
+              1.0260724,
+              1.115598,
+              1.2256701,
+              1.3581745,
+              1.5195108,
+              1.7112713,
+              1.9434599,
+              2.2202766,
+              2.5580787,
+              2.9642248,
+              3.4551158,
+              4.0443199,
+              4.7466314,
+              5.5893743,
+              6.6107297,
+              7.8302516,
+              9.295335,
+              11.0501573
+            ],
+            [
+              0.9255796,
+              1.0042371,
+              1.0243716,
+              1.0482651,
+              1.0788823,
+              1.1178648,
+              1.1655176,
+              1.2227413,
+              1.2913935,
+              1.3782688,
+              1.4774294,
+              1.6090978,
+              1.7664753,
+              1.9570655,
+              2.1876581,
+              2.4684407,
+              2.7992815,
+              3.1996602,
+              3.6829795,
+              4.2713341,
+              4.9759277,
+              5.8216031,
+              6.8347784,
+              8.0551798,
+              9.5145775,
+              11.2793764
+            ],
+            [
+              1.7073055,
+              1.7748298,
+              1.7901278,
+              1.8110855,
+              1.8403969,
+              1.8778429,
+              1.9199926,
+              1.9727947,
+              2.0384295,
+              2.1188006,
+              2.2207975,
+              2.3423214,
+              2.4944829,
+              2.6768132,
+              2.8905781,
+              3.1588087,
+              3.4896006,
+              3.8860594,
+              4.3620243,
+              4.9417195,
+              5.6382011,
+              6.4767486,
+              7.4839746,
+              8.701401,
+              10.1559979,
+              11.9105732
+            ],
+            [
+              2.1107463,
+              2.1751559,
+              2.1891497,
+              2.2116397,
+              2.2373754,
+              2.2683901,
+              2.3136811,
+              2.3654863,
+              2.429691,
+              2.5097153,
+              2.6069474,
+              2.7298326,
+              2.8758109,
+              3.056444,
+              3.2754487,
+              3.5348317,
+              3.8505361,
+              4.2432457,
+              4.7248784,
+              5.2934454,
+              5.9909224,
+              6.8277546,
+              7.8322858,
+              9.0418626,
+              10.4965618,
+              12.2531831
+            ],
+            [
+              2.5077335,
+              2.5696935,
+              2.5889795,
+              2.6092976,
+              2.6316084,
+              2.6680709,
+              2.7071989,
+              2.7573468,
+              2.8190717,
+              2.8965852,
+              2.9938063,
+              3.1116848,
+              3.2581714,
+              3.4337341,
+              3.6497445,
+              3.9148397,
+              4.22996,
+              4.6127399,
+              5.0795857,
+              5.6520824,
+              6.3461431,
+              7.1771771,
+              8.1816709,
+              9.3901236,
+              10.8368554,
+              12.5859115
+            ]
+          ]
+        },
+        "when": "(!A1&A2)"
+      },
+      {
+        "fall_power pwr_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.2566765,
+              0.1124293,
+              0.0840221,
+              0.0510618,
+              0.0119411,
+              -0.0353381,
+              -0.0917389,
+              -0.1603423,
+              -0.2414372,
+              -0.3382028,
+              -0.4549087,
+              -0.5938335,
+              -0.7614516,
+              -0.9616694,
+              -1.1999206,
+              -1.488958,
+              -1.8346818,
+              -2.2484605,
+              -2.7446917,
+              -3.3409208,
+              -4.0560195,
+              -4.9147335,
+              -5.9432185,
+              -7.1774713,
+              -8.6613621,
+              -10.440862
+            ],
+            [
+              0.2600294,
+              0.1139619,
+              0.0863915,
+              0.0531917,
+              0.0133629,
+              -0.0339884,
+              -0.090508,
+              -0.1584591,
+              -0.2391992,
+              -0.3369298,
+              -0.4531382,
+              -0.5921526,
+              -0.7592806,
+              -0.9598022,
+              -1.1993927,
+              -1.4872269,
+              -1.8325166,
+              -2.2463625,
+              -2.7434323,
+              -3.33921,
+              -4.0543205,
+              -4.9120309,
+              -5.9415773,
+              -7.1768512,
+              -8.6591097,
+              -10.4376034
+            ],
+            [
+              0.2578374,
+              0.1117162,
+              0.0839743,
+              0.0513272,
+              0.0115976,
+              -0.0359158,
+              -0.0923313,
+              -0.159848,
+              -0.2415602,
+              -0.3388134,
+              -0.4552133,
+              -0.5941837,
+              -0.7612148,
+              -0.9617423,
+              -1.2017518,
+              -1.4892287,
+              -1.834912,
+              -2.2484725,
+              -2.7454177,
+              -3.3413197,
+              -4.0563588,
+              -4.9143571,
+              -5.9437326,
+              -7.179172,
+              -8.6616302,
+              -10.4395897
+            ],
+            [
+              0.2664927,
+              0.1197078,
+              0.0928901,
+              0.0593454,
+              0.0193101,
+              -0.028208,
+              -0.0848709,
+              -0.1530666,
+              -0.234117,
+              -0.3313157,
+              -0.4473777,
+              -0.5865923,
+              -0.754253,
+              -0.953851,
+              -1.193955,
+              -1.4817022,
+              -1.8269808,
+              -2.2413157,
+              -2.7374145,
+              -3.3337659,
+              -4.0489096,
+              -4.906685,
+              -5.935975,
+              -7.1712027,
+              -8.6535301,
+              -10.432317
+            ],
+            [
+              0.3367057,
+              0.1846266,
+              0.1566637,
+              0.1223951,
+              0.081864,
+              0.034006,
+              -0.0232141,
+              -0.0917781,
+              -0.1735418,
+              -0.2712099,
+              -0.3880862,
+              -0.528072,
+              -0.6955001,
+              -0.8961576,
+              -1.1362751,
+              -1.4252278,
+              -1.7703495,
+              -2.1844425,
+              -2.6810628,
+              -3.2776741,
+              -3.9928975,
+              -4.8508642,
+              -5.8802809,
+              -7.1156257,
+              -8.5980839,
+              -10.3767997
+            ],
+            [
+              0.4566151,
+              0.3014452,
+              0.2723277,
+              0.2377098,
+              0.1960879,
+              0.1466147,
+              0.0880184,
+              0.0183444,
+              -0.0645553,
+              -0.1637735,
+              -0.2817141,
+              -0.4226864,
+              -0.5910338,
+              -0.7925484,
+              -1.0337109,
+              -1.3225743,
+              -1.6684503,
+              -2.0834951,
+              -2.5810236,
+              -3.177457,
+              -3.8930602,
+              -4.751372,
+              -5.7810234,
+              -7.016565,
+              -8.4991747,
+              -10.2785262
+            ],
+            [
+              0.7335878,
+              0.5499837,
+              0.5175817,
+              0.4790697,
+              0.4379276,
+              0.3881551,
+              0.3283987,
+              0.2564916,
+              0.1710314,
+              0.069493,
+              -0.0505494,
+              -0.1938651,
+              -0.3646223,
+              -0.568269,
+              -0.8117427,
+              -1.1023987,
+              -1.4504143,
+              -1.866872,
+              -2.3657295,
+              -2.963559,
+              -3.6802684,
+              -4.5397863,
+              -5.5702244,
+              -6.8067414,
+              -8.2901295,
+              -10.0691957
+            ],
+            [
+              1.0018139,
+              0.8112949,
+              0.7766093,
+              0.7362937,
+              0.6901234,
+              0.635258,
+              0.5704373,
+              0.4962384,
+              0.4112908,
+              0.3092209,
+              0.186363,
+              0.0411024,
+              -0.1316237,
+              -0.3375289,
+              -0.5828253,
+              -0.8755651,
+              -1.2249719,
+              -1.6431127,
+              -2.1435744,
+              -2.7427732,
+              -3.460737,
+              -4.3210882,
+              -5.3529074,
+              -6.5898104,
+              -8.0738702,
+              -9.8537396
+            ],
+            [
+              1.7439817,
+              1.5417994,
+              1.5051816,
+              1.4623933,
+              1.4122794,
+              1.3530883,
+              1.2843841,
+              1.2037769,
+              1.1089322,
+              0.9984337,
+              0.8672743,
+              0.7130743,
+              0.5337752,
+              0.3236015,
+              0.0722863,
+              -0.2251673,
+              -0.5803147,
+              -1.0033786,
+              -1.5084765,
+              -2.1119914,
+              -2.833959,
+              -3.698188,
+              -4.7330908,
+              -5.9730897,
+              -7.4595427,
+              -9.2423186
+            ],
+            [
+              2.1254869,
+              1.9178539,
+              1.8804919,
+              1.8369423,
+              1.7875996,
+              1.7268463,
+              1.6562938,
+              1.573839,
+              1.4771486,
+              1.3630472,
+              1.2292887,
+              1.0716802,
+              0.8877587,
+              0.670414,
+              0.4183137,
+              0.116241,
+              -0.2416192,
+              -0.6669667,
+              -1.1751564,
+              -1.7810761,
+              -2.505311,
+              -3.3714112,
+              -4.4079891,
+              -5.6497445,
+              -7.1379802,
+              -8.9217623
+            ],
+            [
+              2.5049432,
+              2.2956746,
+              2.2578297,
+              2.2134268,
+              2.1611458,
+              2.0998645,
+              2.0287096,
+              1.9448797,
+              1.8448749,
+              1.7297849,
+              1.5942251,
+              1.4343456,
+              1.2468128,
+              1.0260883,
+              0.7653474,
+              0.4622948,
+              0.1004674,
+              -0.3285094,
+              -0.8390006,
+              -1.4472204,
+              -2.1718922,
+              -3.0408638,
+              -4.0796408,
+              -5.3232147,
+              -6.8129705,
+              -8.5981919
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power pwr_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.1173237,
+              0.2431355,
+              0.2689523,
+              0.3001425,
+              0.3377903,
+              0.3829578,
+              0.4388889,
+              0.5033867,
+              0.5837829,
+              0.6763956,
+              0.7907169,
+              0.9301823,
+              1.0924903,
+              1.2894081,
+              1.5306736,
+              1.8138013,
+              2.154834,
+              2.5583982,
+              3.0523245,
+              3.6408543,
+              4.3562979,
+              5.1978616,
+              6.2187912,
+              7.4392415,
+              8.9093578,
+              10.6635645
+            ],
+            [
+              0.1270206,
+              0.251599,
+              0.2776073,
+              0.3087811,
+              0.3463699,
+              0.3921019,
+              0.4462513,
+              0.5116315,
+              0.5925513,
+              0.6853865,
+              0.7990304,
+              0.9388111,
+              1.1015352,
+              1.3013079,
+              1.5366379,
+              1.8186388,
+              2.1626286,
+              2.5724134,
+              3.0634284,
+              3.6539571,
+              4.357527,
+              5.2093286,
+              6.2239217,
+              7.4510012,
+              8.9115974,
+              10.6796254
+            ],
+            [
+              0.1280102,
+              0.2524458,
+              0.2785931,
+              0.3097193,
+              0.3477645,
+              0.3924807,
+              0.4468846,
+              0.5128453,
+              0.5916807,
+              0.6868647,
+              0.8027955,
+              0.9394313,
+              1.1033556,
+              1.2988384,
+              1.5375101,
+              1.8220484,
+              2.1642574,
+              2.5765921,
+              3.0637128,
+              3.6531552,
+              4.3572226,
+              5.2104927,
+              6.2296623,
+              7.447155,
+              8.918824,
+              10.6741894
+            ],
+            [
+              0.1414367,
+              0.2638426,
+              0.289724,
+              0.32052,
+              0.3577418,
+              0.4026941,
+              0.4572163,
+              0.5231053,
+              0.6023759,
+              0.6962578,
+              0.8108412,
+              0.9501345,
+              1.111634,
+              1.3087962,
+              1.548113,
+              1.8332944,
+              2.1776441,
+              2.5831726,
+              3.0789158,
+              3.6636219,
+              4.3690156,
+              5.2203345,
+              6.2398747,
+              7.4612651,
+              8.9223948,
+              10.6887887
+            ],
+            [
+              0.2218878,
+              0.3333119,
+              0.3573744,
+              0.3870324,
+              0.4230819,
+              0.4666165,
+              0.5193286,
+              0.5836725,
+              0.6623402,
+              0.7547461,
+              0.8692667,
+              1.0059026,
+              1.1667162,
+              1.3647165,
+              1.5980273,
+              1.8823755,
+              2.2266229,
+              2.6322843,
+              3.1192215,
+              3.7100793,
+              4.419622,
+              5.2710719,
+              6.2901831,
+              7.5110254,
+              8.9798407,
+              10.7347682
+            ],
+            [
+              0.3627458,
+              0.4611937,
+              0.4835073,
+              0.5115905,
+              0.5465217,
+              0.5887122,
+              0.6400215,
+              0.702267,
+              0.7776317,
+              0.8686581,
+              0.9784128,
+              1.1133414,
+              1.2751706,
+              1.4695794,
+              1.7042634,
+              1.9877559,
+              2.3243689,
+              2.7367138,
+              3.2246535,
+              3.811795,
+              4.5171865,
+              5.3683237,
+              6.3842187,
+              7.6024672,
+              9.0703345,
+              10.8261502
+            ],
+            [
+              0.6465478,
+              0.7331068,
+              0.7534208,
+              0.7801729,
+              0.8122104,
+              0.8513179,
+              0.8988962,
+              0.9557846,
+              1.0290929,
+              1.1162024,
+              1.2225444,
+              1.35701,
+              1.5169473,
+              1.7085409,
+              1.9390825,
+              2.2149398,
+              2.550436,
+              2.9543775,
+              3.4442895,
+              4.0282003,
+              4.7317343,
+              5.5810063,
+              6.6020608,
+              7.8217304,
+              9.2842775,
+              11.0381623
+            ],
+            [
+              0.9264941,
+              1.0043993,
+              1.026079,
+              1.0501837,
+              1.0799942,
+              1.1185114,
+              1.1656404,
+              1.2224673,
+              1.2938997,
+              1.3745993,
+              1.4772332,
+              1.6047982,
+              1.7632068,
+              1.9516603,
+              2.180015,
+              2.4582568,
+              2.7899089,
+              3.1921746,
+              3.6737011,
+              4.2560946,
+              4.964838,
+              5.8097705,
+              6.8235961,
+              8.0423705,
+              9.5004992,
+              11.265331
+            ],
+            [
+              1.6983723,
+              1.7667048,
+              1.7820563,
+              1.8029833,
+              1.831023,
+              1.8662705,
+              1.9116741,
+              1.9650166,
+              2.0305549,
+              2.111802,
+              2.2085376,
+              2.3308648,
+              2.4831018,
+              2.6640139,
+              2.877423,
+              3.145628,
+              3.4753805,
+              3.8664035,
+              4.3443813,
+              4.9231068,
+              5.6170153,
+              6.4543447,
+              7.4614038,
+              8.6801345,
+              10.1402607,
+              11.88642
+            ],
+            [
+              2.0996016,
+              2.1633381,
+              2.1789407,
+              2.2011263,
+              2.2259922,
+              2.259006,
+              2.3030508,
+              2.3536106,
+              2.4180775,
+              2.4965336,
+              2.5916943,
+              2.7121194,
+              2.8581261,
+              3.0390142,
+              3.2607439,
+              3.516751,
+              3.8300718,
+              4.2218094,
+              4.6984425,
+              5.2694154,
+              5.9663853,
+              6.8024066,
+              7.8057071,
+              9.0141691,
+              10.4675602,
+              12.2251712
+            ],
+            [
+              2.4907548,
+              2.5554899,
+              2.5685368,
+              2.5884909,
+              2.6128672,
+              2.6475313,
+              2.6839388,
+              2.7379852,
+              2.7983306,
+              2.8764252,
+              2.9747914,
+              3.0895529,
+              3.2386524,
+              3.4155684,
+              3.6341629,
+              3.890025,
+              4.2043882,
+              4.5873026,
+              5.0538022,
+              5.6294741,
+              6.3115925,
+              7.1468124,
+              8.1504816,
+              9.3569659,
+              10.8066699,
+              12.5584757
+            ]
+          ]
+        },
+        "when": "(A1&!A2)"
+      }
+    ],
+    "max_capacitance": 0.565348,
+    "max_transition": 4.651309,
+    "power_down_function": "(!VPWR + VGND)",
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "timing": [
+      {
+        "cell_fall delay_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.1231144,
+              0.1838901,
+              0.1938047,
+              0.2052594,
+              0.2194607,
+              0.2356313,
+              0.2548046,
+              0.2774898,
+              0.3044685,
+              0.3365603,
+              0.3746665,
+              0.4209662,
+              0.4762407,
+              0.5425037,
+              0.6218692,
+              0.7173893,
+              0.8321675,
+              0.9705254,
+              1.1342419,
+              1.332171,
+              1.5717999,
+              1.8552178,
+              2.1999322,
+              2.6095026,
+              3.1001208,
+              3.6960113
+            ],
+            [
+              0.1257298,
+              0.1864166,
+              0.1963334,
+              0.2080124,
+              0.2218468,
+              0.2381255,
+              0.2573065,
+              0.2800063,
+              0.3069882,
+              0.3391167,
+              0.3774754,
+              0.4234716,
+              0.4786034,
+              0.5447651,
+              0.6243946,
+              0.7199757,
+              0.8351497,
+              0.9726222,
+              1.138258,
+              1.3356888,
+              1.5751169,
+              1.8587344,
+              2.2036342,
+              2.6116871,
+              3.1079839,
+              3.6944261
+            ],
+            [
+              0.127089,
+              0.1874595,
+              0.1974686,
+              0.2093469,
+              0.2231827,
+              0.2394185,
+              0.2585284,
+              0.2812384,
+              0.3082281,
+              0.3403154,
+              0.3786842,
+              0.4245961,
+              0.4798656,
+              0.5461744,
+              0.6257865,
+              0.7212229,
+              0.8353223,
+              0.9741397,
+              1.1377675,
+              1.3376761,
+              1.5743253,
+              1.8606801,
+              2.2005955,
+              2.6130249,
+              3.1069838,
+              3.7029839
+            ],
+            [
+              0.1315286,
+              0.1917456,
+              0.2016405,
+              0.2132948,
+              0.2270671,
+              0.2433581,
+              0.2624884,
+              0.2851929,
+              0.3121814,
+              0.3442846,
+              0.3826648,
+              0.4285435,
+              0.483714,
+              0.5500482,
+              0.6296654,
+              0.7252053,
+              0.8397932,
+              0.9780498,
+              1.141751,
+              1.3425892,
+              1.5775277,
+              1.8666424,
+              2.2055142,
+              2.6206979,
+              3.1145926,
+              3.7023664
+            ],
+            [
+              0.1537413,
+              0.2144644,
+              0.2243371,
+              0.2359747,
+              0.2495865,
+              0.2657478,
+              0.2846683,
+              0.3071889,
+              0.3339205,
+              0.365824,
+              0.4039824,
+              0.4497407,
+              0.5046099,
+              0.5707248,
+              0.6502178,
+              0.7457091,
+              0.8595274,
+              0.9979871,
+              1.1617968,
+              1.3617739,
+              1.5980324,
+              1.8839732,
+              2.2256237,
+              2.6405869,
+              3.1325107,
+              3.7193064
+            ],
+            [
+              0.1833582,
+              0.2486795,
+              0.2590207,
+              0.2711709,
+              0.2849001,
+              0.3010481,
+              0.3199964,
+              0.3426129,
+              0.3696757,
+              0.40138,
+              0.4392575,
+              0.4845644,
+              0.5389689,
+              0.6044436,
+              0.6835175,
+              0.7785196,
+              0.8928622,
+              1.0291188,
+              1.1949497,
+              1.391295,
+              1.6311069,
+              1.9144064,
+              2.257204,
+              2.6687081,
+              3.158436,
+              3.7561576
+            ],
+            [
+              0.2235482,
+              0.2977526,
+              0.3092955,
+              0.3227202,
+              0.3381516,
+              0.3560588,
+              0.3762536,
+              0.4003845,
+              0.4284109,
+              0.4614037,
+              0.5001687,
+              0.5464028,
+              0.6012852,
+              0.6664703,
+              0.7446705,
+              0.8385927,
+              0.9513811,
+              1.0875703,
+              1.2515627,
+              1.4491945,
+              1.6849754,
+              1.9693698,
+              2.312029,
+              2.7214737,
+              3.2124658,
+              3.8048658
+            ],
+            [
+              0.2518911,
+              0.332517,
+              0.3446938,
+              0.3590798,
+              0.3756993,
+              0.3948646,
+              0.4166894,
+              0.4420803,
+              0.4720715,
+              0.5064839,
+              0.5470107,
+              0.5953777,
+              0.6525034,
+              0.72031,
+              0.8002372,
+              0.8951356,
+              1.0075175,
+              1.1428155,
+              1.3059156,
+              1.5019047,
+              1.7377864,
+              2.0219513,
+              2.3632831,
+              2.7729259,
+              3.2629772,
+              3.8551553
+            ],
+            [
+              0.3017385,
+              0.3945351,
+              0.4088123,
+              0.4252218,
+              0.4443884,
+              0.4653856,
+              0.4902256,
+              0.5192617,
+              0.5523442,
+              0.5904889,
+              0.6356006,
+              0.6884306,
+              0.7506991,
+              0.8236443,
+              0.9104111,
+              1.0129361,
+              1.1340372,
+              1.2766314,
+              1.4444858,
+              1.6421025,
+              1.8764641,
+              2.1580455,
+              2.496521,
+              2.9052221,
+              3.3954666,
+              3.9843964
+            ],
+            [
+              0.3193843,
+              0.4169144,
+              0.4318688,
+              0.4493584,
+              0.4687579,
+              0.4912545,
+              0.5173775,
+              0.5477722,
+              0.5821671,
+              0.6224884,
+              0.6693326,
+              0.7240309,
+              0.7881647,
+              0.8637048,
+              0.9531274,
+              1.0585119,
+              1.182806,
+              1.3298842,
+              1.5030288,
+              1.7065652,
+              1.9455648,
+              2.2278046,
+              2.5655285,
+              2.9718619,
+              3.4604479,
+              4.0481223
+            ],
+            [
+              0.3335148,
+              0.435034,
+              0.4503967,
+              0.46839,
+              0.4892547,
+              0.5127458,
+              0.540095,
+              0.5710242,
+              0.6069305,
+              0.6479979,
+              0.6961914,
+              0.7535283,
+              0.8201014,
+              0.898296,
+              0.9900046,
+              1.0979293,
+              1.2254383,
+              1.376251,
+              1.5532791,
+              1.76232,
+              2.0077509,
+              2.2953774,
+              2.6342739,
+              3.0398413,
+              3.5275209,
+              4.1150265
+            ]
+          ]
+        },
+        "cell_rise delay_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.1203826,
+              0.1731349,
+              0.1813464,
+              0.1911932,
+              0.2027443,
+              0.216256,
+              0.2322329,
+              0.2512316,
+              0.2737729,
+              0.3006656,
+              0.3328653,
+              0.3713882,
+              0.418155,
+              0.4743284,
+              0.5410846,
+              0.6211767,
+              0.7193478,
+              0.8338488,
+              0.972524,
+              1.1416884,
+              1.3423674,
+              1.5786342,
+              1.870726,
+              2.2180948,
+              2.6313702,
+              3.1249396
+            ],
+            [
+              0.1203661,
+              0.1728362,
+              0.1813775,
+              0.1911772,
+              0.2026247,
+              0.2162651,
+              0.2322273,
+              0.2509593,
+              0.2735109,
+              0.3005217,
+              0.3328561,
+              0.3718601,
+              0.4184779,
+              0.4737709,
+              0.5408029,
+              0.6226259,
+              0.7178397,
+              0.8343091,
+              0.9745135,
+              1.1397399,
+              1.3403033,
+              1.5825506,
+              1.871624,
+              2.2127575,
+              2.6313698,
+              3.1327139
+            ],
+            [
+              0.1200878,
+              0.1728804,
+              0.1811322,
+              0.1911491,
+              0.2026156,
+              0.2162345,
+              0.2320387,
+              0.2510882,
+              0.2736098,
+              0.3006388,
+              0.3328954,
+              0.3718891,
+              0.4180547,
+              0.4736178,
+              0.541628,
+              0.622368,
+              0.7170241,
+              0.8351122,
+              0.9741711,
+              1.1386118,
+              1.3420187,
+              1.5824085,
+              1.8699009,
+              2.2131046,
+              2.6306295,
+              3.1298175
+            ],
+            [
+              0.125611,
+              0.1782492,
+              0.1865382,
+              0.1964147,
+              0.2077435,
+              0.2214946,
+              0.2373012,
+              0.2561315,
+              0.2786642,
+              0.3056792,
+              0.3379768,
+              0.3769354,
+              0.4235745,
+              0.4789185,
+              0.5457595,
+              0.6275815,
+              0.7230759,
+              0.8391848,
+              0.9795431,
+              1.1449849,
+              1.3453051,
+              1.5875238,
+              1.8770172,
+              2.2178306,
+              2.6374953,
+              3.1376031
+            ],
+            [
+              0.1548206,
+              0.2074698,
+              0.2156411,
+              0.225327,
+              0.2366905,
+              0.2499305,
+              0.2656916,
+              0.2843771,
+              0.3066101,
+              0.3332569,
+              0.3652713,
+              0.4037674,
+              0.4498771,
+              0.5051115,
+              0.5727989,
+              0.6525358,
+              0.7484628,
+              0.8656116,
+              1.0035717,
+              1.1691721,
+              1.3719084,
+              1.6140058,
+              1.8980775,
+              2.2450799,
+              2.6649845,
+              3.1609093
+            ],
+            [
+              0.1869983,
+              0.2429022,
+              0.2513773,
+              0.2615866,
+              0.2731669,
+              0.2865938,
+              0.301836,
+              0.3203907,
+              0.342427,
+              0.3687289,
+              0.4004385,
+              0.4386782,
+              0.4844476,
+              0.5395954,
+              0.6060924,
+              0.6850946,
+              0.7816779,
+              0.8980243,
+              1.0368262,
+              1.2006657,
+              1.4029198,
+              1.6448891,
+              1.9308648,
+              2.2756153,
+              2.6951101,
+              3.191974
+            ],
+            [
+              0.22839,
+              0.2933373,
+              0.3025396,
+              0.3126669,
+              0.3248642,
+              0.33888,
+              0.3549643,
+              0.3743208,
+              0.3968766,
+              0.4226137,
+              0.4544707,
+              0.4927049,
+              0.5387149,
+              0.5935119,
+              0.6597302,
+              0.7382108,
+              0.8332783,
+              0.947556,
+              1.0866026,
+              1.2524429,
+              1.4506356,
+              1.6911469,
+              1.9796433,
+              2.3274978,
+              2.7412028,
+              3.2377532
+            ],
+            [
+              0.2584155,
+              0.330083,
+              0.3400174,
+              0.3513296,
+              0.3637934,
+              0.3782658,
+              0.3951809,
+              0.4145415,
+              0.4379534,
+              0.4653186,
+              0.4979919,
+              0.5368619,
+              0.5831248,
+              0.6389567,
+              0.7058248,
+              0.7852676,
+              0.8798363,
+              0.9941349,
+              1.1309441,
+              1.2961654,
+              1.4949281,
+              1.7341792,
+              2.0208575,
+              2.3664606,
+              2.7813079,
+              3.2797616
+            ],
+            [
+              0.3176626,
+              0.4044631,
+              0.4162877,
+              0.4298917,
+              0.4439719,
+              0.4611061,
+              0.4788691,
+              0.5002791,
+              0.5255333,
+              0.5537893,
+              0.5878275,
+              0.6283303,
+              0.6766572,
+              0.7329996,
+              0.8024702,
+              0.8849496,
+              0.9844113,
+              1.1033092,
+              1.2438273,
+              1.4093521,
+              1.6068299,
+              1.844113,
+              2.1281881,
+              2.4705842,
+              2.8841665,
+              3.379772
+            ],
+            [
+              0.3409758,
+              0.4344363,
+              0.4468127,
+              0.4624908,
+              0.4782082,
+              0.4955777,
+              0.5140779,
+              0.5368805,
+              0.5622008,
+              0.5909608,
+              0.6257023,
+              0.666377,
+              0.7152332,
+              0.7734851,
+              0.8432959,
+              0.9273806,
+              1.0279029,
+              1.1489106,
+              1.2899277,
+              1.4615779,
+              1.6616524,
+              1.899146,
+              2.1835931,
+              2.5248845,
+              2.9362501,
+              3.4315688
+            ],
+            [
+              0.3622328,
+              0.4613021,
+              0.4749498,
+              0.4904999,
+              0.506746,
+              0.5250932,
+              0.5456943,
+              0.5691889,
+              0.5953989,
+              0.6253845,
+              0.6602898,
+              0.7020318,
+              0.7511948,
+              0.8102035,
+              0.8808973,
+              0.9656227,
+              1.0666964,
+              1.1878154,
+              1.3338567,
+              1.5056054,
+              1.7083903,
+              1.951961,
+              2.2361968,
+              2.5783335,
+              2.9885625,
+              3.4816889
+            ]
+          ]
+        },
+        "fall_transition delay_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.0317034,
+              0.0879869,
+              0.0984359,
+              0.1109923,
+              0.1267616,
+              0.1451694,
+              0.1681015,
+              0.1957192,
+              0.2288809,
+              0.2689847,
+              0.3184436,
+              0.3779434,
+              0.448269,
+              0.5351013,
+              0.6377829,
+              0.7618557,
+              0.9127787,
+              1.0886739,
+              1.3027692,
+              1.5653406,
+              1.8770856,
+              2.2430626,
+              2.6884637,
+              3.2170675,
+              3.8561652,
+              4.6251993
+            ],
+            [
+              0.0316541,
+              0.0879389,
+              0.0985351,
+              0.1113574,
+              0.1267517,
+              0.1453328,
+              0.1681519,
+              0.1957501,
+              0.22913,
+              0.2692389,
+              0.3184102,
+              0.3779722,
+              0.4491369,
+              0.5336513,
+              0.6378814,
+              0.7621628,
+              0.9128507,
+              1.0879337,
+              1.3065924,
+              1.5599487,
+              1.8750688,
+              2.2509739,
+              2.6903144,
+              3.2175484,
+              3.8680206,
+              4.633228
+            ],
+            [
+              0.0313696,
+              0.087822,
+              0.0984619,
+              0.1111596,
+              0.1270267,
+              0.145406,
+              0.1680845,
+              0.1956569,
+              0.2291715,
+              0.2689386,
+              0.3186474,
+              0.3775825,
+              0.4477006,
+              0.5337657,
+              0.6375261,
+              0.7636281,
+              0.9124248,
+              1.0891292,
+              1.3032783,
+              1.5639022,
+              1.8802764,
+              2.2463218,
+              2.6884281,
+              3.2275203,
+              3.8636778,
+              4.6364863
+            ],
+            [
+              0.0314761,
+              0.0881576,
+              0.098747,
+              0.1113986,
+              0.1267769,
+              0.1457322,
+              0.1682842,
+              0.1957826,
+              0.2292074,
+              0.269236,
+              0.3184392,
+              0.3777382,
+              0.4488944,
+              0.5349777,
+              0.6386769,
+              0.7626448,
+              0.9127558,
+              1.0881517,
+              1.3039915,
+              1.5645625,
+              1.8767732,
+              2.2453062,
+              2.695167,
+              3.227371,
+              3.8661625,
+              4.6261857
+            ],
+            [
+              0.0375855,
+              0.0923267,
+              0.1022137,
+              0.1150398,
+              0.1301405,
+              0.1481786,
+              0.170242,
+              0.1973567,
+              0.2304155,
+              0.2701498,
+              0.3187119,
+              0.3783362,
+              0.4492479,
+              0.5334493,
+              0.6380143,
+              0.7626287,
+              0.9115703,
+              1.0877819,
+              1.304072,
+              1.5639696,
+              1.8744908,
+              2.2419335,
+              2.6899827,
+              3.2265588,
+              3.8617536,
+              4.635111
+            ],
+            [
+              0.0490313,
+              0.1066636,
+              0.1161668,
+              0.1279569,
+              0.1422076,
+              0.1589349,
+              0.1795785,
+              0.2056963,
+              0.2370373,
+              0.2755383,
+              0.3229974,
+              0.3811199,
+              0.4515519,
+              0.5364554,
+              0.6374893,
+              0.7615679,
+              0.9113044,
+              1.0903829,
+              1.3032614,
+              1.5612873,
+              1.8720541,
+              2.2518487,
+              2.6901872,
+              3.2217593,
+              3.8662447,
+              4.6307549
+            ],
+            [
+              0.0677875,
+              0.133478,
+              0.1439477,
+              0.156948,
+              0.1707609,
+              0.1886271,
+              0.2087683,
+              0.2342528,
+              0.2646725,
+              0.301572,
+              0.3459127,
+              0.4006295,
+              0.4657408,
+              0.5476931,
+              0.6480031,
+              0.767747,
+              0.9149266,
+              1.0911967,
+              1.3029224,
+              1.560675,
+              1.8693194,
+              2.244966,
+              2.6911815,
+              3.2253189,
+              3.8659054,
+              4.629753
+            ],
+            [
+              0.0844161,
+              0.155469,
+              0.1663968,
+              0.1797514,
+              0.1956006,
+              0.2131846,
+              0.2348067,
+              0.2596043,
+              0.2913635,
+              0.3289581,
+              0.3740288,
+              0.42896,
+              0.4958166,
+              0.5733031,
+              0.6694429,
+              0.7863595,
+              0.9281229,
+              1.1018393,
+              1.311086,
+              1.5653274,
+              1.8758293,
+              2.248816,
+              2.6910034,
+              3.2269825,
+              3.8660759,
+              4.6296114
+            ],
+            [
+              0.1168612,
+              0.2019779,
+              0.2151745,
+              0.2298691,
+              0.2472284,
+              0.2689813,
+              0.2937341,
+              0.3214724,
+              0.3536555,
+              0.3930528,
+              0.4405716,
+              0.4964338,
+              0.5677137,
+              0.6492613,
+              0.7491666,
+              0.8663635,
+              1.0059951,
+              1.1715127,
+              1.3701222,
+              1.6147301,
+              1.9100714,
+              2.2687685,
+              2.7024696,
+              3.2279868,
+              3.8637737,
+              4.6350395
+            ],
+            [
+              0.132706,
+              0.2230734,
+              0.2368773,
+              0.2520019,
+              0.2716788,
+              0.294105,
+              0.3192378,
+              0.3480241,
+              0.3817592,
+              0.4226206,
+              0.4712327,
+              0.5290113,
+              0.6005961,
+              0.6821945,
+              0.784543,
+              0.9060697,
+              1.0488508,
+              1.2166697,
+              1.4185351,
+              1.6540271,
+              1.9445885,
+              2.2960853,
+              2.7286231,
+              3.2483938,
+              3.8755119,
+              4.6361621
+            ],
+            [
+              0.1483091,
+              0.2410581,
+              0.2592281,
+              0.2785663,
+              0.2955861,
+              0.3161592,
+              0.3405107,
+              0.3734691,
+              0.4080963,
+              0.4501345,
+              0.4995495,
+              0.5598801,
+              0.6322068,
+              0.7168747,
+              0.8206155,
+              0.9449764,
+              1.0880217,
+              1.2570782,
+              1.4669826,
+              1.7068351,
+              1.9876936,
+              2.3348301,
+              2.7607936,
+              3.2695606,
+              3.8893928,
+              4.6513087
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_transition delay_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.0343634,
+              0.0858504,
+              0.0954913,
+              0.1075741,
+              0.1221211,
+              0.1399773,
+              0.1616728,
+              0.1878016,
+              0.2202718,
+              0.2591146,
+              0.3064131,
+              0.362793,
+              0.4318417,
+              0.5143285,
+              0.6146491,
+              0.7340813,
+              0.875634,
+              1.0505344,
+              1.256511,
+              1.5034889,
+              1.8028193,
+              2.1560465,
+              2.5881643,
+              3.1040033,
+              3.7209797,
+              4.4610249
+            ],
+            [
+              0.0344592,
+              0.0857974,
+              0.0957163,
+              0.1074606,
+              0.1222041,
+              0.1400353,
+              0.161753,
+              0.1878736,
+              0.2200802,
+              0.2593233,
+              0.3060965,
+              0.3629088,
+              0.432251,
+              0.5140505,
+              0.6142653,
+              0.7331769,
+              0.8780894,
+              1.0496355,
+              1.2566151,
+              1.50442,
+              1.8031153,
+              2.1589079,
+              2.5856162,
+              3.1025675,
+              3.7209391,
+              4.4590212
+            ],
+            [
+              0.034578,
+              0.0859063,
+              0.095644,
+              0.107708,
+              0.1222544,
+              0.1399506,
+              0.1617195,
+              0.188308,
+              0.2199587,
+              0.2589761,
+              0.3054444,
+              0.3632671,
+              0.4312706,
+              0.5146654,
+              0.6137107,
+              0.7345486,
+              0.8770344,
+              1.0499361,
+              1.2547182,
+              1.5061424,
+              1.803482,
+              2.1574345,
+              2.5886528,
+              3.1033358,
+              3.7201662,
+              4.4595958
+            ],
+            [
+              0.0343657,
+              0.0858731,
+              0.095616,
+              0.1075843,
+              0.1219763,
+              0.1400091,
+              0.1615172,
+              0.1881646,
+              0.2201968,
+              0.2591551,
+              0.3061254,
+              0.3625713,
+              0.4323374,
+              0.5137926,
+              0.6144466,
+              0.732559,
+              0.8782459,
+              1.0494023,
+              1.2567402,
+              1.504238,
+              1.8031661,
+              2.1615794,
+              2.5858198,
+              3.1026275,
+              3.7207086,
+              4.4586335
+            ],
+            [
+              0.0388517,
+              0.0888452,
+              0.0984239,
+              0.1103236,
+              0.1244608,
+              0.1420567,
+              0.1634158,
+              0.1894938,
+              0.2211251,
+              0.2598777,
+              0.3062384,
+              0.3638695,
+              0.4312226,
+              0.5149804,
+              0.6134313,
+              0.7345822,
+              0.8768326,
+              1.0498671,
+              1.2549791,
+              1.5063499,
+              1.8035081,
+              2.158943,
+              2.5890916,
+              3.102554,
+              3.7187149,
+              4.4582724
+            ],
+            [
+              0.048611,
+              0.0993919,
+              0.1085846,
+              0.1206375,
+              0.1337391,
+              0.1508142,
+              0.1706312,
+              0.1956395,
+              0.2267035,
+              0.2644544,
+              0.3101916,
+              0.3664385,
+              0.4337572,
+              0.5166256,
+              0.6159279,
+              0.7335171,
+              0.8775171,
+              1.049703,
+              1.2544155,
+              1.5063347,
+              1.803969,
+              2.1580222,
+              2.5886924,
+              3.1032803,
+              3.7361838,
+              4.4585087
+            ],
+            [
+              0.0671028,
+              0.119524,
+              0.1282596,
+              0.1405409,
+              0.1538243,
+              0.1695696,
+              0.189349,
+              0.213869,
+              0.2433662,
+              0.2785687,
+              0.3232928,
+              0.3785148,
+              0.4449135,
+              0.5250386,
+              0.6222136,
+              0.7395304,
+              0.8808886,
+              1.0506386,
+              1.2580269,
+              1.5040488,
+              1.7996543,
+              2.1587987,
+              2.5878986,
+              3.1038176,
+              3.7208734,
+              4.461203
+            ],
+            [
+              0.0833699,
+              0.1375746,
+              0.1475,
+              0.157904,
+              0.1710374,
+              0.1877845,
+              0.2073381,
+              0.2302993,
+              0.2603111,
+              0.2962718,
+              0.3398158,
+              0.3943836,
+              0.4595431,
+              0.5392016,
+              0.6360285,
+              0.7531678,
+              0.8922607,
+              1.0594935,
+              1.2645408,
+              1.5108866,
+              1.8065575,
+              2.1598223,
+              2.5855917,
+              3.0976766,
+              3.7172887,
+              4.4581072
+            ],
+            [
+              0.1213143,
+              0.1858893,
+              0.1947434,
+              0.2060324,
+              0.2210998,
+              0.2362896,
+              0.2532467,
+              0.2756783,
+              0.3050831,
+              0.3385265,
+              0.3807537,
+              0.4352373,
+              0.500132,
+              0.5787807,
+              0.6776148,
+              0.7959486,
+              0.9349137,
+              1.10193,
+              1.3050315,
+              1.5446675,
+              1.8377191,
+              2.1831112,
+              2.6044222,
+              3.1088117,
+              3.7197183,
+              4.4597073
+            ],
+            [
+              0.1413007,
+              0.206689,
+              0.2152363,
+              0.2275763,
+              0.2409815,
+              0.2586302,
+              0.2748154,
+              0.296689,
+              0.3235098,
+              0.3578647,
+              0.4006908,
+              0.453963,
+              0.5192778,
+              0.5989119,
+              0.6968203,
+              0.8144457,
+              0.9577709,
+              1.1271034,
+              1.3272331,
+              1.5744827,
+              1.8572367,
+              2.2127957,
+              2.6228189,
+              3.1237954,
+              3.733621,
+              4.462672
+            ],
+            [
+              0.1557496,
+              0.2297925,
+              0.2381241,
+              0.2464745,
+              0.2601747,
+              0.2796895,
+              0.2987615,
+              0.3202795,
+              0.3475162,
+              0.3799841,
+              0.4199349,
+              0.4729374,
+              0.5369207,
+              0.6178947,
+              0.7144974,
+              0.8327636,
+              0.9768368,
+              1.149535,
+              1.351783,
+              1.592986,
+              1.8855941,
+              2.2280764,
+              2.6434923,
+              3.1512182,
+              3.7461096,
+              4.4781756
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate"
+      },
+      {
+        "cell_fall delay_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.133517,
+              0.1962844,
+              0.2065547,
+              0.2184075,
+              0.2321517,
+              0.2483277,
+              0.2676218,
+              0.2903723,
+              0.3172658,
+              0.3494107,
+              0.3878085,
+              0.4337976,
+              0.4888869,
+              0.555107,
+              0.6344336,
+              0.7296175,
+              0.8442064,
+              0.981361,
+              1.1462766,
+              1.3452569,
+              1.5831643,
+              1.8673219,
+              2.2108604,
+              2.619698,
+              3.1138236,
+              3.7044841
+            ],
+            [
+              0.1371493,
+              0.1999576,
+              0.2100934,
+              0.2219318,
+              0.2358102,
+              0.2521804,
+              0.2713465,
+              0.2940409,
+              0.3209535,
+              0.353065,
+              0.3913949,
+              0.4373715,
+              0.4925037,
+              0.5587203,
+              0.6382478,
+              0.7333035,
+              0.8478274,
+              0.9864022,
+              1.1500767,
+              1.3490367,
+              1.5874246,
+              1.8721267,
+              2.2144644,
+              2.6233564,
+              3.1187868,
+              3.7100172
+            ],
+            [
+              0.138663,
+              0.2015074,
+              0.2116628,
+              0.2234597,
+              0.2372956,
+              0.2536111,
+              0.2727917,
+              0.2955415,
+              0.3225255,
+              0.3546431,
+              0.3929892,
+              0.4389602,
+              0.4940151,
+              0.5601586,
+              0.6393897,
+              0.7349684,
+              0.8500783,
+              0.9866805,
+              1.1519535,
+              1.3506786,
+              1.5879574,
+              1.8725375,
+              2.2158158,
+              2.6264997,
+              3.1176657,
+              3.7096379
+            ],
+            [
+              0.142762,
+              0.2054233,
+              0.2157637,
+              0.227377,
+              0.241229,
+              0.2575109,
+              0.2766649,
+              0.299398,
+              0.3263706,
+              0.3584761,
+              0.3968159,
+              0.4427691,
+              0.4978175,
+              0.5638877,
+              0.6432978,
+              0.7388998,
+              0.8537883,
+              0.9905603,
+              1.1563495,
+              1.3545697,
+              1.5908567,
+              1.8774213,
+              2.2198725,
+              2.6288547,
+              3.123066,
+              3.7151451
+            ],
+            [
+              0.1661761,
+              0.2285594,
+              0.2384798,
+              0.250097,
+              0.2638373,
+              0.2799353,
+              0.2989362,
+              0.3214604,
+              0.3481145,
+              0.3799589,
+              0.4180448,
+              0.4637466,
+              0.5186379,
+              0.5846125,
+              0.6639067,
+              0.7588993,
+              0.8733778,
+              1.0118372,
+              1.1752813,
+              1.374057,
+              1.6122216,
+              1.896499,
+              2.2392814,
+              2.6502074,
+              3.1426943,
+              3.734645
+            ],
+            [
+              0.2037421,
+              0.2701658,
+              0.280541,
+              0.2923024,
+              0.306086,
+              0.3224157,
+              0.3410855,
+              0.3636701,
+              0.3901386,
+              0.421669,
+              0.4593461,
+              0.5044831,
+              0.5584092,
+              0.6237484,
+              0.7024674,
+              0.7971848,
+              0.9110498,
+              1.0475856,
+              1.2129181,
+              1.4106373,
+              1.6482348,
+              1.9314892,
+              2.2744033,
+              2.6862603,
+              3.1768084,
+              3.7687848
+            ],
+            [
+              0.2614385,
+              0.3378048,
+              0.3495811,
+              0.36275,
+              0.3781282,
+              0.3959835,
+              0.4164056,
+              0.4397265,
+              0.4670185,
+              0.4996167,
+              0.5377486,
+              0.5831939,
+              0.6371583,
+              0.7017503,
+              0.7795665,
+              0.8732488,
+              0.9858542,
+              1.1216078,
+              1.2851309,
+              1.4819937,
+              1.7185775,
+              2.0022317,
+              2.3433411,
+              2.7543063,
+              3.2466132,
+              3.8368611
+            ],
+            [
+              0.3084753,
+              0.3921014,
+              0.4050244,
+              0.4195986,
+              0.4365193,
+              0.4553736,
+              0.4772749,
+              0.5023296,
+              0.5320474,
+              0.5658646,
+              0.6061061,
+              0.6531858,
+              0.7091131,
+              0.775196,
+              0.8534212,
+              0.9466586,
+              1.0586008,
+              1.1933318,
+              1.3557452,
+              1.5513676,
+              1.7869815,
+              2.0703905,
+              2.4109212,
+              2.8202816,
+              3.3109935,
+              3.9007229
+            ],
+            [
+              0.4131875,
+              0.5107314,
+              0.5256262,
+              0.5428486,
+              0.5625526,
+              0.5845506,
+              0.6098131,
+              0.63907,
+              0.673323,
+              0.7117852,
+              0.7559472,
+              0.808682,
+              0.8698547,
+              0.94182,
+              1.0266792,
+              1.1269065,
+              1.2446137,
+              1.3836163,
+              1.5475229,
+              1.7417784,
+              1.9746436,
+              2.2550257,
+              2.5927755,
+              2.9993505,
+              3.4881917,
+              4.0760098
+            ],
+            [
+              0.4599975,
+              0.5630759,
+              0.5786222,
+              0.5965303,
+              0.617149,
+              0.6409634,
+              0.6677895,
+              0.6984812,
+              0.7337705,
+              0.7749173,
+              0.8221777,
+              0.876371,
+              0.9408033,
+              1.0153388,
+              1.103171,
+              1.2064971,
+              1.328213,
+              1.4712508,
+              1.6396355,
+              1.837879,
+              2.072968,
+              2.3522637,
+              2.6884788,
+              3.0936256,
+              3.5815837,
+              4.1680379
+            ],
+            [
+              0.5032484,
+              0.611046,
+              0.6275011,
+              0.6464764,
+              0.668421,
+              0.6929606,
+              0.7213585,
+              0.7536456,
+              0.790606,
+              0.8334651,
+              0.8826749,
+              0.9395682,
+              1.0062077,
+              1.0835061,
+              1.1743674,
+              1.2807854,
+              1.4058181,
+              1.5524898,
+              1.7253096,
+              1.9284419,
+              2.1675275,
+              2.4487969,
+              2.784341,
+              3.1882046,
+              3.6745118,
+              4.2604222
+            ]
+          ]
+        },
+        "cell_rise delay_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.1233742,
+              0.1762764,
+              0.184542,
+              0.1943901,
+              0.2059261,
+              0.2194636,
+              0.2353388,
+              0.2543619,
+              0.2768964,
+              0.3039143,
+              0.3361832,
+              0.37513,
+              0.4213408,
+              0.4769136,
+              0.5448569,
+              0.6254557,
+              0.7203438,
+              0.8383769,
+              0.9772629,
+              1.1424569,
+              1.3438696,
+              1.5847366,
+              1.8741408,
+              2.2186166,
+              2.6316176,
+              3.1278438
+            ],
+            [
+              0.1252575,
+              0.1778138,
+              0.1863683,
+              0.1962433,
+              0.207586,
+              0.2213086,
+              0.2372549,
+              0.2560683,
+              0.2785306,
+              0.3054488,
+              0.3377995,
+              0.3767738,
+              0.4235251,
+              0.479344,
+              0.5453635,
+              0.627475,
+              0.7229964,
+              0.838632,
+              0.9791555,
+              1.1449007,
+              1.3435872,
+              1.5865278,
+              1.8775963,
+              2.2201128,
+              2.6337339,
+              3.1381933
+            ],
+            [
+              0.1247033,
+              0.17748,
+              0.1858191,
+              0.195808,
+              0.2072864,
+              0.2208642,
+              0.2366984,
+              0.2557321,
+              0.278255,
+              0.3052873,
+              0.337546,
+              0.3765344,
+              0.4227171,
+              0.4782594,
+              0.5462519,
+              0.6260396,
+              0.7218266,
+              0.8397595,
+              0.9789151,
+              1.1429426,
+              1.3467371,
+              1.5873463,
+              1.8739876,
+              2.2188,
+              2.6365816,
+              3.1355174
+            ],
+            [
+              0.1255151,
+              0.1780783,
+              0.1863743,
+              0.1961813,
+              0.2076038,
+              0.2213001,
+              0.2371658,
+              0.255929,
+              0.2784705,
+              0.3054946,
+              0.3377866,
+              0.3767557,
+              0.4234418,
+              0.4788663,
+              0.5453779,
+              0.6271532,
+              0.7230479,
+              0.8385605,
+              0.9790295,
+              1.1449726,
+              1.3438641,
+              1.5865154,
+              1.8779316,
+              2.2193578,
+              2.6341493,
+              3.1376244
+            ],
+            [
+              0.1407194,
+              0.1939664,
+              0.2021972,
+              0.211949,
+              0.2233233,
+              0.2367365,
+              0.2523995,
+              0.2712629,
+              0.293565,
+              0.3202728,
+              0.3523157,
+              0.3908826,
+              0.4370779,
+              0.492195,
+              0.5598986,
+              0.6396897,
+              0.7353342,
+              0.8528543,
+              0.9907435,
+              1.1565019,
+              1.3594823,
+              1.6010782,
+              1.884795,
+              2.2331772,
+              2.6522164,
+              3.1475349
+            ],
+            [
+              0.1574489,
+              0.2152478,
+              0.2242082,
+              0.2347602,
+              0.2465831,
+              0.2602655,
+              0.2764298,
+              0.294876,
+              0.316883,
+              0.3435578,
+              0.3750052,
+              0.4131504,
+              0.4593487,
+              0.5143914,
+              0.5807643,
+              0.6603807,
+              0.7569336,
+              0.8708043,
+              1.0107705,
+              1.1767325,
+              1.3784004,
+              1.6186263,
+              1.9022617,
+              2.2515605,
+              2.6698059,
+              3.1653979
+            ],
+            [
+              0.1722196,
+              0.2400447,
+              0.2496305,
+              0.2606623,
+              0.2735287,
+              0.2879025,
+              0.3050968,
+              0.3248488,
+              0.347806,
+              0.3753689,
+              0.4082309,
+              0.4471797,
+              0.4935896,
+              0.5492664,
+              0.6151743,
+              0.6938618,
+              0.7887386,
+              0.903389,
+              1.0411205,
+              1.2063892,
+              1.4057562,
+              1.6455343,
+              1.9342028,
+              2.281159,
+              2.69524,
+              3.1916605
+            ],
+            [
+              0.1759329,
+              0.2513029,
+              0.2617561,
+              0.2736879,
+              0.2878752,
+              0.3038027,
+              0.3218074,
+              0.3424194,
+              0.3668902,
+              0.3954635,
+              0.4281057,
+              0.4682478,
+              0.5162295,
+              0.5733317,
+              0.6409995,
+              0.7221893,
+              0.8171349,
+              0.9315055,
+              1.0682603,
+              1.233169,
+              1.43182,
+              1.6706213,
+              1.9583786,
+              2.3036482,
+              2.7178215,
+              3.2165939
+            ],
+            [
+              0.1650409,
+              0.2565773,
+              0.2692682,
+              0.2836198,
+              0.2998324,
+              0.3181378,
+              0.338254,
+              0.3615121,
+              0.3879027,
+              0.4195492,
+              0.4554869,
+              0.4979887,
+              0.5484179,
+              0.6086361,
+              0.6809409,
+              0.7656643,
+              0.8669137,
+              0.9873882,
+              1.1317358,
+              1.3006064,
+              1.4985607,
+              1.7358684,
+              2.0205133,
+              2.3623119,
+              2.7749496,
+              3.2697791
+            ],
+            [
+              0.1527899,
+              0.2513468,
+              0.265131,
+              0.2811245,
+              0.2987323,
+              0.3176311,
+              0.338875,
+              0.3633232,
+              0.3904693,
+              0.4233545,
+              0.4605925,
+              0.5047927,
+              0.5553272,
+              0.6169524,
+              0.6900046,
+              0.7774095,
+              0.8806201,
+              1.0042491,
+              1.1517145,
+              1.3231191,
+              1.5276932,
+              1.7699132,
+              2.0538891,
+              2.3952567,
+              2.805687,
+              3.3001657
+            ],
+            [
+              0.1381878,
+              0.2432127,
+              0.2577234,
+              0.2742772,
+              0.2924032,
+              0.3127943,
+              0.3359296,
+              0.3620451,
+              0.3906224,
+              0.4236215,
+              0.4621866,
+              0.5068328,
+              0.559388,
+              0.622119,
+              0.6964159,
+              0.7848404,
+              0.8904718,
+              1.0162171,
+              1.164713,
+              1.3409371,
+              1.5498182,
+              1.7960923,
+              2.0828541,
+              2.4257423,
+              2.8364513,
+              3.3290146
+            ]
+          ]
+        },
+        "fall_transition delay_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.0359152,
+              0.0923779,
+              0.1030527,
+              0.1153728,
+              0.1303782,
+              0.1487593,
+              0.1705936,
+              0.1978655,
+              0.2306655,
+              0.2706836,
+              0.3194578,
+              0.3787487,
+              0.4498021,
+              0.5349195,
+              0.6367376,
+              0.7603327,
+              0.9123768,
+              1.0910114,
+              1.3059726,
+              1.5607741,
+              1.8678998,
+              2.2401516,
+              2.6915954,
+              3.2252871,
+              3.8625414,
+              4.6229482
+            ],
+            [
+              0.0355894,
+              0.0927404,
+              0.1027293,
+              0.1153025,
+              0.1303768,
+              0.1487639,
+              0.1708312,
+              0.1981417,
+              0.2308365,
+              0.2711458,
+              0.3196597,
+              0.3781671,
+              0.449628,
+              0.5349665,
+              0.6365947,
+              0.7604324,
+              0.9124218,
+              1.0881478,
+              1.3058699,
+              1.560492,
+              1.8769146,
+              2.2496098,
+              2.6916047,
+              3.2230056,
+              3.8654422,
+              4.6273385
+            ],
+            [
+              0.0354555,
+              0.0923858,
+              0.1029823,
+              0.1153585,
+              0.1304738,
+              0.1485508,
+              0.1708641,
+              0.1978916,
+              0.2312435,
+              0.2707951,
+              0.3192706,
+              0.3776816,
+              0.4498965,
+              0.5357806,
+              0.6372904,
+              0.7614669,
+              0.9118886,
+              1.0907084,
+              1.3061957,
+              1.5637102,
+              1.868526,
+              2.2457209,
+              2.6940781,
+              3.218892,
+              3.8669916,
+              4.621413
+            ],
+            [
+              0.0361227,
+              0.0930967,
+              0.1031859,
+              0.1152324,
+              0.1305841,
+              0.1486249,
+              0.1709735,
+              0.1979552,
+              0.2312944,
+              0.2707036,
+              0.3188002,
+              0.3782431,
+              0.4500177,
+              0.5358152,
+              0.639079,
+              0.761194,
+              0.9117858,
+              1.0919472,
+              1.3035346,
+              1.5592271,
+              1.8778734,
+              2.2457307,
+              2.6944855,
+              3.2248662,
+              3.8627861,
+              4.630587
+            ],
+            [
+              0.0402023,
+              0.0960898,
+              0.1061008,
+              0.1180455,
+              0.1332591,
+              0.1512711,
+              0.1727356,
+              0.19923,
+              0.2317954,
+              0.2721001,
+              0.3205233,
+              0.378607,
+              0.4500794,
+              0.5348261,
+              0.6382118,
+              0.7622739,
+              0.9099105,
+              1.0920821,
+              1.3070943,
+              1.5611789,
+              1.8757402,
+              2.2491886,
+              2.6917115,
+              3.2254617,
+              3.8625982,
+              4.6238293
+            ],
+            [
+              0.0519311,
+              0.1089508,
+              0.1184252,
+              0.1304696,
+              0.1445691,
+              0.1608459,
+              0.1820386,
+              0.2076086,
+              0.2385956,
+              0.2773715,
+              0.3238918,
+              0.3815869,
+              0.4516134,
+              0.5365308,
+              0.638751,
+              0.7635002,
+              0.9121693,
+              1.091875,
+              1.3063765,
+              1.5586508,
+              1.8688475,
+              2.2393629,
+              2.6895322,
+              3.2244314,
+              3.8666661,
+              4.6311381
+            ],
+            [
+              0.0724414,
+              0.1397467,
+              0.148951,
+              0.1609132,
+              0.1748574,
+              0.1922927,
+              0.2126112,
+              0.2363675,
+              0.2659947,
+              0.3020307,
+              0.3452431,
+              0.3987675,
+              0.4657462,
+              0.547304,
+              0.6469798,
+              0.7671581,
+              0.9144937,
+              1.093136,
+              1.3071055,
+              1.567904,
+              1.8773825,
+              2.2398599,
+              2.6884888,
+              3.2224465,
+              3.862473,
+              4.6344928
+            ],
+            [
+              0.0897961,
+              0.165419,
+              0.1750946,
+              0.1879485,
+              0.2024162,
+              0.2197598,
+              0.24118,
+              0.2651498,
+              0.2955179,
+              0.3316475,
+              0.3751597,
+              0.4281705,
+              0.4915376,
+              0.5697404,
+              0.6652545,
+              0.7818318,
+              0.9251649,
+              1.1015294,
+              1.3095043,
+              1.5663024,
+              1.876644,
+              2.2464761,
+              2.6933342,
+              3.2241785,
+              3.8652965,
+              4.6353281
+            ],
+            [
+              0.1288757,
+              0.2190405,
+              0.2334886,
+              0.2486041,
+              0.2663581,
+              0.2855513,
+              0.3083008,
+              0.3363379,
+              0.369044,
+              0.4078965,
+              0.4527289,
+              0.5077276,
+              0.5739255,
+              0.6543523,
+              0.7490938,
+              0.8626327,
+              0.9983786,
+              1.1632315,
+              1.3600646,
+              1.6027593,
+              1.9023489,
+              2.2633033,
+              2.700782,
+              3.2248815,
+              3.8631396,
+              4.629237
+            ],
+            [
+              0.1469858,
+              0.2468383,
+              0.2612159,
+              0.2774887,
+              0.2951342,
+              0.3137721,
+              0.3401514,
+              0.3691401,
+              0.4023774,
+              0.4431353,
+              0.4908345,
+              0.5462053,
+              0.6150853,
+              0.6940708,
+              0.792503,
+              0.9069243,
+              1.0461092,
+              1.2107148,
+              1.4069467,
+              1.639417,
+              1.9338269,
+              2.2893087,
+              2.7153029,
+              3.2422192,
+              3.8676924,
+              4.6312025
+            ],
+            [
+              0.1657923,
+              0.2700038,
+              0.2843251,
+              0.304362,
+              0.3221443,
+              0.3449842,
+              0.3681429,
+              0.3985795,
+              0.434707,
+              0.4763822,
+              0.5255367,
+              0.5830391,
+              0.6509143,
+              0.7325818,
+              0.83259,
+              0.9486969,
+              1.0906773,
+              1.2558782,
+              1.4545104,
+              1.6898658,
+              1.9677848,
+              2.3192741,
+              2.7385907,
+              3.2569891,
+              3.876742,
+              4.6366404
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_transition delay_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.0343474,
+              0.0856895,
+              0.0956497,
+              0.1075446,
+              0.1222257,
+              0.1398717,
+              0.161746,
+              0.1882263,
+              0.2198924,
+              0.258994,
+              0.3054516,
+              0.3632585,
+              0.431664,
+              0.514786,
+              0.6138455,
+              0.7345393,
+              0.8773958,
+              1.0499113,
+              1.2556551,
+              1.5053263,
+              1.8039538,
+              2.1602831,
+              2.5888072,
+              3.1026079,
+              3.719643,
+              4.4578705
+            ],
+            [
+              0.0347359,
+              0.0857516,
+              0.0956042,
+              0.1075946,
+              0.1220549,
+              0.1400535,
+              0.1617859,
+              0.1880864,
+              0.2198388,
+              0.2594692,
+              0.3060371,
+              0.3623257,
+              0.4322336,
+              0.5147966,
+              0.6146313,
+              0.7320834,
+              0.8782146,
+              1.0487838,
+              1.2565866,
+              1.5038284,
+              1.8021811,
+              2.1574031,
+              2.587442,
+              3.0998084,
+              3.7189321,
+              4.4622017
+            ],
+            [
+              0.0346161,
+              0.0859065,
+              0.0957876,
+              0.1076268,
+              0.1222911,
+              0.139923,
+              0.1617325,
+              0.1883092,
+              0.2199599,
+              0.258974,
+              0.3054423,
+              0.3632607,
+              0.4312754,
+              0.5147148,
+              0.6136684,
+              0.7345839,
+              0.8771522,
+              1.0499127,
+              1.2539716,
+              1.5062193,
+              1.803259,
+              2.1575535,
+              2.5889166,
+              3.1034677,
+              3.7200807,
+              4.4602304
+            ],
+            [
+              0.034635,
+              0.0857597,
+              0.0955076,
+              0.1074515,
+              0.1220081,
+              0.1400302,
+              0.1615276,
+              0.1880289,
+              0.2200874,
+              0.2593362,
+              0.3061277,
+              0.3621974,
+              0.4323357,
+              0.5145311,
+              0.6145565,
+              0.7324976,
+              0.8781547,
+              1.0483632,
+              1.2565737,
+              1.5035266,
+              1.8022601,
+              2.161052,
+              2.5893401,
+              3.0989393,
+              3.7198749,
+              4.4620037
+            ],
+            [
+              0.0388908,
+              0.0895776,
+              0.0987113,
+              0.1106539,
+              0.1247607,
+              0.1423912,
+              0.1635463,
+              0.189784,
+              0.2215288,
+              0.2599234,
+              0.3064562,
+              0.3639282,
+              0.4315434,
+              0.5150547,
+              0.6132912,
+              0.7345582,
+              0.8763718,
+              1.04994,
+              1.2548613,
+              1.5064245,
+              1.8031343,
+              2.159517,
+              2.5890239,
+              3.1008611,
+              3.7189312,
+              4.4565618
+            ],
+            [
+              0.0494891,
+              0.1015363,
+              0.1121495,
+              0.1224818,
+              0.1365504,
+              0.15352,
+              0.1736323,
+              0.198123,
+              0.2289576,
+              0.2659534,
+              0.3117926,
+              0.3675777,
+              0.4348892,
+              0.5162262,
+              0.6143858,
+              0.7346481,
+              0.8767375,
+              1.0492492,
+              1.2554983,
+              1.5053711,
+              1.800616,
+              2.1599509,
+              2.5887681,
+              3.0986353,
+              3.7186043,
+              4.4680992
+            ],
+            [
+              0.0697959,
+              0.1255234,
+              0.1355192,
+              0.1465477,
+              0.1608416,
+              0.1763095,
+              0.1968448,
+              0.2211109,
+              0.2503203,
+              0.2868503,
+              0.3308993,
+              0.3846773,
+              0.4504356,
+              0.5301676,
+              0.62689,
+              0.7422423,
+              0.882893,
+              1.0539214,
+              1.2592293,
+              1.504838,
+              1.8014792,
+              2.1604494,
+              2.5932911,
+              3.1055287,
+              3.722324,
+              4.4629016
+            ],
+            [
+              0.0865516,
+              0.1474927,
+              0.1576171,
+              0.1682559,
+              0.1838179,
+              0.1999325,
+              0.2193109,
+              0.2430093,
+              0.2732221,
+              0.3092383,
+              0.3521161,
+              0.4056083,
+              0.4720672,
+              0.5511824,
+              0.6463725,
+              0.7609156,
+              0.9013447,
+              1.0663838,
+              1.2675355,
+              1.5106483,
+              1.8080735,
+              2.1597129,
+              2.5869693,
+              3.0997624,
+              3.7176096,
+              4.4776094
+            ],
+            [
+              0.1304402,
+              0.1993975,
+              0.2096697,
+              0.2243468,
+              0.2406053,
+              0.2566878,
+              0.2751979,
+              0.2982724,
+              0.3280831,
+              0.3635822,
+              0.4080786,
+              0.4610223,
+              0.5260903,
+              0.6073055,
+              0.7042934,
+              0.822214,
+              0.9605176,
+              1.1276602,
+              1.3291692,
+              1.5638472,
+              1.8545465,
+              2.1954536,
+              2.6135729,
+              3.1149321,
+              3.7289576,
+              4.4605235
+            ],
+            [
+              0.1513116,
+              0.225435,
+              0.2360209,
+              0.2501977,
+              0.261235,
+              0.2799426,
+              0.3034209,
+              0.3268182,
+              0.3554152,
+              0.3922137,
+              0.4353803,
+              0.4867561,
+              0.5520446,
+              0.6323859,
+              0.7321416,
+              0.8493538,
+              0.9908705,
+              1.160963,
+              1.3587,
+              1.5986368,
+              1.8830042,
+              2.2267137,
+              2.6438449,
+              3.1393954,
+              3.7429526,
+              4.4700892
+            ],
+            [
+              0.1720782,
+              0.2457332,
+              0.2576715,
+              0.2706222,
+              0.2871237,
+              0.308204,
+              0.3282136,
+              0.3522515,
+              0.3799109,
+              0.4162626,
+              0.4605253,
+              0.5136225,
+              0.5795854,
+              0.6575389,
+              0.75709,
+              0.8769682,
+              1.0200528,
+              1.1892352,
+              1.3929609,
+              1.6317846,
+              1.9192539,
+              2.260294,
+              2.6714466,
+              3.1710992,
+              3.7654879,
+              4.4904396
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate"
+      },
+      {
+        "cell_fall delay_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.0823554,
+              0.1394222,
+              0.1491026,
+              0.1604164,
+              0.1738596,
+              0.1896998,
+              0.2085065,
+              0.2308534,
+              0.2576232,
+              0.2896872,
+              0.3281988,
+              0.3742784,
+              0.4292703,
+              0.4957187,
+              0.5761546,
+              0.6708283,
+              0.7860856,
+              0.9236352,
+              1.0886644,
+              1.2875367,
+              1.5246208,
+              1.8112856,
+              2.1510858,
+              2.5636282,
+              3.057034,
+              3.6482111
+            ],
+            [
+              0.086343,
+              0.1430001,
+              0.1526503,
+              0.1640757,
+              0.1774422,
+              0.1932581,
+              0.2120463,
+              0.2343993,
+              0.2611608,
+              0.2932291,
+              0.3316775,
+              0.3776667,
+              0.4329783,
+              0.4989724,
+              0.5789782,
+              0.6752119,
+              0.7897971,
+              0.9262675,
+              1.0930206,
+              1.2893943,
+              1.5294529,
+              1.8122874,
+              2.1575108,
+              2.5651252,
+              3.0610168,
+              3.6493186
+            ],
+            [
+              0.0880123,
+              0.1444804,
+              0.1541894,
+              0.1655403,
+              0.1789361,
+              0.1947481,
+              0.2135472,
+              0.2359813,
+              0.2627595,
+              0.2948154,
+              0.3332326,
+              0.3791918,
+              0.4344841,
+              0.501212,
+              0.5806409,
+              0.6764531,
+              0.7913845,
+              0.9279192,
+              1.0939227,
+              1.2920912,
+              1.5296813,
+              1.8159737,
+              2.1564575,
+              2.5686044,
+              3.0620493,
+              3.6523464
+            ],
+            [
+              0.1008267,
+              0.1564568,
+              0.166095,
+              0.1774013,
+              0.190742,
+              0.206563,
+              0.2253504,
+              0.2476993,
+              0.2744127,
+              0.3064973,
+              0.3449052,
+              0.3909825,
+              0.4459883,
+              0.5126037,
+              0.5928122,
+              0.687373,
+              0.8034109,
+              0.9401386,
+              1.1044915,
+              1.3051036,
+              1.5415641,
+              1.8255837,
+              2.1719455,
+              2.5786,
+              3.0711658,
+              3.665632
+            ],
+            [
+              0.140642,
+              0.1969834,
+              0.2064736,
+              0.2176463,
+              0.2308955,
+              0.2465004,
+              0.265057,
+              0.287204,
+              0.3136708,
+              0.3454228,
+              0.3835587,
+              0.4294486,
+              0.4845971,
+              0.5508347,
+              0.6301077,
+              0.7264103,
+              0.839874,
+              0.9771005,
+              1.1445447,
+              1.3404943,
+              1.577788,
+              1.8656132,
+              2.2052131,
+              2.616809,
+              3.109313,
+              3.6996934
+            ],
+            [
+              0.1881428,
+              0.2466427,
+              0.2562804,
+              0.267747,
+              0.2808395,
+              0.2967193,
+              0.3153982,
+              0.33764,
+              0.3641654,
+              0.3958582,
+              0.4336916,
+              0.4790396,
+              0.5336722,
+              0.5994353,
+              0.678714,
+              0.7743526,
+              0.8878648,
+              1.0274152,
+              1.1903099,
+              1.3907298,
+              1.6252728,
+              1.9133819,
+              2.2524436,
+              2.666805,
+              3.1556955,
+              3.751123
+            ],
+            [
+              0.2630639,
+              0.3272899,
+              0.337725,
+              0.349684,
+              0.3638763,
+              0.3805657,
+              0.3997982,
+              0.4224025,
+              0.4497031,
+              0.4821014,
+              0.5205928,
+              0.5665732,
+              0.6208903,
+              0.6865265,
+              0.7653008,
+              0.8597619,
+              0.9732396,
+              1.110068,
+              1.2753348,
+              1.4723289,
+              1.7084628,
+              1.995893,
+              2.3350628,
+              2.7484643,
+              3.2377416,
+              3.8329198
+            ],
+            [
+              0.3265881,
+              0.3952317,
+              0.4063204,
+              0.4191064,
+              0.4340072,
+              0.4503659,
+              0.4710675,
+              0.4945612,
+              0.5226883,
+              0.5561056,
+              0.5959849,
+              0.6433743,
+              0.6996109,
+              0.766525,
+              0.8458347,
+              0.940222,
+              1.0536331,
+              1.1898557,
+              1.3535453,
+              1.5504656,
+              1.7881664,
+              2.072331,
+              2.4128586,
+              2.8257772,
+              3.3165373,
+              3.9096992
+            ],
+            [
+              0.4769662,
+              0.5555539,
+              0.5677445,
+              0.5817728,
+              0.598157,
+              0.6170512,
+              0.6387525,
+              0.6647285,
+              0.6944095,
+              0.7301889,
+              0.7724443,
+              0.8225473,
+              0.8823896,
+              0.9537094,
+              1.0385109,
+              1.138828,
+              1.257505,
+              1.397871,
+              1.5631717,
+              1.7589982,
+              1.9953854,
+              2.2784206,
+              2.618542,
+              3.0283644,
+              3.5198775,
+              4.1084247
+            ],
+            [
+              0.547646,
+              0.6305441,
+              0.6432335,
+              0.6578477,
+              0.6748839,
+              0.6945707,
+              0.7171467,
+              0.7436741,
+              0.7744047,
+              0.8112509,
+              0.854483,
+              0.9053874,
+              0.9670102,
+              1.0398966,
+              1.1265133,
+              1.2293755,
+              1.351174,
+              1.4948769,
+              1.6645296,
+              1.8642525,
+              2.1006501,
+              2.3832465,
+              2.7230087,
+              3.131013,
+              3.6225212,
+              4.2140318
+            ],
+            [
+              0.6149142,
+              0.7015506,
+              0.7150135,
+              0.7302129,
+              0.7474452,
+              0.7676636,
+              0.7910251,
+              0.8181639,
+              0.849589,
+              0.8876185,
+              0.9319397,
+              0.9846236,
+              1.047135,
+              1.1214355,
+              1.2097892,
+              1.3145295,
+              1.4386005,
+              1.5855912,
+              1.7591592,
+              1.9633506,
+              2.2036983,
+              2.4879854,
+              2.82701,
+              3.2349294,
+              3.7254533,
+              4.3143449
+            ]
+          ]
+        },
+        "cell_rise delay_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.0637974,
+              0.1075701,
+              0.1150786,
+              0.1241813,
+              0.1352024,
+              0.1482439,
+              0.1638696,
+              0.1826693,
+              0.2052291,
+              0.2321713,
+              0.2636702,
+              0.3037602,
+              0.348987,
+              0.4061358,
+              0.4731602,
+              0.5528353,
+              0.6504735,
+              0.7674671,
+              0.9055738,
+              1.0727004,
+              1.2725088,
+              1.5133627,
+              1.8045937,
+              2.150726,
+              2.5645492,
+              3.0615461
+            ],
+            [
+              0.0677331,
+              0.1111671,
+              0.1188124,
+              0.1278446,
+              0.1387882,
+              0.1518396,
+              0.1674861,
+              0.1863883,
+              0.2085276,
+              0.235962,
+              0.2682146,
+              0.3075529,
+              0.353837,
+              0.4100426,
+              0.4770956,
+              0.5572263,
+              0.6541314,
+              0.768922,
+              0.9089959,
+              1.0757472,
+              1.2770076,
+              1.5201265,
+              1.8042432,
+              2.1510844,
+              2.5684144,
+              3.0663863
+            ],
+            [
+              0.0695558,
+              0.1128868,
+              0.1206049,
+              0.1297234,
+              0.140679,
+              0.1536469,
+              0.1691968,
+              0.1880009,
+              0.2106511,
+              0.2374602,
+              0.269669,
+              0.3087773,
+              0.3551932,
+              0.4121677,
+              0.4788182,
+              0.5596441,
+              0.6576866,
+              0.7718984,
+              0.912851,
+              1.0774849,
+              1.2818775,
+              1.5174373,
+              1.8107028,
+              2.1511883,
+              2.5723377,
+              3.0632503
+            ],
+            [
+              0.0793046,
+              0.1217981,
+              0.1293232,
+              0.1383776,
+              0.1492669,
+              0.162287,
+              0.1778481,
+              0.1967186,
+              0.218868,
+              0.2457857,
+              0.2784707,
+              0.3173551,
+              0.36415,
+              0.4204389,
+              0.4873413,
+              0.5681988,
+              0.6643732,
+              0.7806292,
+              0.9181383,
+              1.0865367,
+              1.2861033,
+              1.5271187,
+              1.8192942,
+              2.1610642,
+              2.5798894,
+              3.0775316
+            ],
+            [
+              0.0965965,
+              0.1406582,
+              0.1482854,
+              0.1572507,
+              0.1678916,
+              0.1805501,
+              0.1958874,
+              0.2145755,
+              0.2366573,
+              0.263261,
+              0.2953559,
+              0.3346727,
+              0.3801394,
+              0.436215,
+              0.5038617,
+              0.5839312,
+              0.6818613,
+              0.7968327,
+              0.9357281,
+              1.1029151,
+              1.3018696,
+              1.5463746,
+              1.8302314,
+              2.1807791,
+              2.5952935,
+              3.0936904
+            ],
+            [
+              0.1044946,
+              0.1531888,
+              0.1610327,
+              0.1701109,
+              0.1802741,
+              0.1931643,
+              0.2083465,
+              0.2268115,
+              0.249003,
+              0.2755983,
+              0.3080372,
+              0.3454587,
+              0.3912736,
+              0.4464504,
+              0.5130615,
+              0.5933291,
+              0.6896512,
+              0.8054593,
+              0.9430966,
+              1.1124612,
+              1.313049,
+              1.5552626,
+              1.838358,
+              2.1894213,
+              2.5985072,
+              3.1018557
+            ],
+            [
+              0.1005243,
+              0.1575217,
+              0.1660218,
+              0.1756833,
+              0.186702,
+              0.1999317,
+              0.2147175,
+              0.2334233,
+              0.2557234,
+              0.2829839,
+              0.3153423,
+              0.3544351,
+              0.4009267,
+              0.4560253,
+              0.5238615,
+              0.6026909,
+              0.6981634,
+              0.8120377,
+              0.9505974,
+              1.1169161,
+              1.317649,
+              1.5586136,
+              1.8419213,
+              2.1922058,
+              2.6086344,
+              3.100735
+            ],
+            [
+              0.086611,
+              0.1502985,
+              0.1593631,
+              0.1692706,
+              0.1813681,
+              0.1949578,
+              0.2107165,
+              0.2288462,
+              0.2512872,
+              0.2781536,
+              0.3108094,
+              0.3505773,
+              0.3974309,
+              0.4543521,
+              0.5225496,
+              0.6031146,
+              0.6990769,
+              0.8158406,
+              0.952703,
+              1.1164543,
+              1.3150692,
+              1.5553401,
+              1.8441864,
+              2.1890174,
+              2.601368,
+              3.1043406
+            ],
+            [
+              0.0281151,
+              0.1049428,
+              0.1156326,
+              0.1277027,
+              0.1419922,
+              0.1570079,
+              0.1747593,
+              0.1944285,
+              0.217673,
+              0.2450633,
+              0.2778308,
+              0.3163561,
+              0.3640429,
+              0.4216005,
+              0.4925831,
+              0.5755982,
+              0.6760863,
+              0.79494,
+              0.935471,
+              1.1057021,
+              1.3075222,
+              1.5459948,
+              1.8322364,
+              2.1732345,
+              2.5865532,
+              3.0826164
+            ],
+            [
+              -0.0076701,
+              0.0743264,
+              0.0857566,
+              0.0986649,
+              0.1138354,
+              0.1303784,
+              0.1486432,
+              0.1690943,
+              0.1927011,
+              0.2209008,
+              0.2538856,
+              0.2937439,
+              0.3403598,
+              0.3982063,
+              0.4682141,
+              0.5532986,
+              0.653078,
+              0.7750462,
+              0.9185474,
+              1.0884,
+              1.2930385,
+              1.5331358,
+              1.8224284,
+              2.1687752,
+              2.5770035,
+              3.0715042
+            ],
+            [
+              -0.046087,
+              0.0404848,
+              0.0526975,
+              0.0664505,
+              0.0823639,
+              0.0992972,
+              0.1181186,
+              0.1398253,
+              0.1641754,
+              0.1925655,
+              0.2262663,
+              0.2662514,
+              0.3140954,
+              0.372548,
+              0.4412913,
+              0.5257698,
+              0.6284366,
+              0.749605,
+              0.8932854,
+              1.068175,
+              1.2740092,
+              1.5185245,
+              1.808107,
+              2.1552398,
+              2.5690856,
+              3.0614615
+            ]
+          ]
+        },
+        "fall_transition delay_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.0254972,
+              0.0807309,
+              0.0915488,
+              0.104447,
+              0.1201541,
+              0.1393012,
+              0.162334,
+              0.1908571,
+              0.2246018,
+              0.2660213,
+              0.3161633,
+              0.3759702,
+              0.4452402,
+              0.5337876,
+              0.6385197,
+              0.7621021,
+              0.9083421,
+              1.0898319,
+              1.3039112,
+              1.5602053,
+              1.8738577,
+              2.2396529,
+              2.6892955,
+              3.226502,
+              3.8544741,
+              4.631421
+            ],
+            [
+              0.0256974,
+              0.0807,
+              0.0915249,
+              0.1042164,
+              0.1198656,
+              0.1389795,
+              0.1626103,
+              0.1909294,
+              0.224669,
+              0.2659099,
+              0.3160066,
+              0.373766,
+              0.4480368,
+              0.5335365,
+              0.6378305,
+              0.7608082,
+              0.9096978,
+              1.0888193,
+              1.3019926,
+              1.560048,
+              1.8694633,
+              2.2417184,
+              2.6903273,
+              3.2223715,
+              3.8585215,
+              4.6336091
+            ],
+            [
+              0.0255005,
+              0.0804216,
+              0.0914492,
+              0.1044003,
+              0.1201113,
+              0.1395511,
+              0.1627097,
+              0.1907222,
+              0.2247627,
+              0.265417,
+              0.3160748,
+              0.3736153,
+              0.4476021,
+              0.5320414,
+              0.637828,
+              0.7609226,
+              0.9123131,
+              1.0906641,
+              1.3051079,
+              1.5601054,
+              1.8676269,
+              2.2393918,
+              2.6900571,
+              3.2200487,
+              3.8631134,
+              4.623661
+            ],
+            [
+              0.0256714,
+              0.0809782,
+              0.0917294,
+              0.1044916,
+              0.1205636,
+              0.1395903,
+              0.1626755,
+              0.1907098,
+              0.2245605,
+              0.2657496,
+              0.3161033,
+              0.3741845,
+              0.4472829,
+              0.5331272,
+              0.6367263,
+              0.7623348,
+              0.9101342,
+              1.0887451,
+              1.3030228,
+              1.5637505,
+              1.8750512,
+              2.2393001,
+              2.6920055,
+              3.2214762,
+              3.8643679,
+              4.6254236
+            ],
+            [
+              0.0302394,
+              0.0852595,
+              0.0956854,
+              0.1080663,
+              0.1233308,
+              0.1420329,
+              0.1646432,
+              0.1923322,
+              0.2257373,
+              0.2667692,
+              0.3161307,
+              0.3758349,
+              0.4460152,
+              0.5317604,
+              0.6354825,
+              0.761945,
+              0.9082842,
+              1.0886491,
+              1.3064833,
+              1.5618529,
+              1.8723507,
+              2.2464237,
+              2.6834588,
+              3.2253678,
+              3.8610115,
+              4.633391
+            ],
+            [
+              0.038318,
+              0.0934681,
+              0.1033842,
+              0.1157772,
+              0.1305359,
+              0.1489022,
+              0.1704818,
+              0.1970829,
+              0.2296427,
+              0.2699232,
+              0.3184315,
+              0.3771592,
+              0.4491977,
+              0.5327331,
+              0.637325,
+              0.7619304,
+              0.9081366,
+              1.0928644,
+              1.303301,
+              1.5611152,
+              1.8732902,
+              2.2460194,
+              2.6834064,
+              3.2245318,
+              3.8640979,
+              4.6253885
+            ],
+            [
+              0.0526548,
+              0.1115559,
+              0.1222312,
+              0.1340758,
+              0.1497938,
+              0.1674443,
+              0.188656,
+              0.2148817,
+              0.2462005,
+              0.2859137,
+              0.3315915,
+              0.3883544,
+              0.4570924,
+              0.5402652,
+              0.6418015,
+              0.7651962,
+              0.9113009,
+              1.0910434,
+              1.3034556,
+              1.5619557,
+              1.874316,
+              2.2455218,
+              2.6835201,
+              3.2214216,
+              3.866703,
+              4.6243303
+            ],
+            [
+              0.0640485,
+              0.1271345,
+              0.1385394,
+              0.151126,
+              0.1660676,
+              0.1822172,
+              0.2053934,
+              0.2310608,
+              0.2636853,
+              0.3029731,
+              0.3500717,
+              0.4076663,
+              0.4751682,
+              0.5579831,
+              0.655646,
+              0.7737169,
+              0.9191336,
+              1.0965494,
+              1.3080943,
+              1.5624271,
+              1.8712032,
+              2.2409257,
+              2.6857474,
+              3.2292218,
+              3.8637986,
+              4.6243094
+            ],
+            [
+              0.0921704,
+              0.1640581,
+              0.1750226,
+              0.1882413,
+              0.2025339,
+              0.2217646,
+              0.2458064,
+              0.2726219,
+              0.3040115,
+              0.34418,
+              0.3936836,
+              0.4528445,
+              0.5242272,
+              0.6078312,
+              0.7101521,
+              0.8287384,
+              0.9690312,
+              1.1392732,
+              1.3426916,
+              1.5883546,
+              1.8937372,
+              2.2547104,
+              2.6952478,
+              3.2258616,
+              3.8649346,
+              4.6359938
+            ],
+            [
+              0.1042668,
+              0.1779934,
+              0.1902372,
+              0.2048237,
+              0.2213795,
+              0.241091,
+              0.2637328,
+              0.2916469,
+              0.3252158,
+              0.3648632,
+              0.4126119,
+              0.4729338,
+              0.5455021,
+              0.6312469,
+              0.7336122,
+              0.8566184,
+              0.9991882,
+              1.1703396,
+              1.3747876,
+              1.6141042,
+              1.913089,
+              2.2741729,
+              2.7084448,
+              3.2305492,
+              3.8648085,
+              4.6371085
+            ],
+            [
+              0.1162806,
+              0.1931211,
+              0.2039885,
+              0.2192428,
+              0.2377069,
+              0.2589224,
+              0.2808361,
+              0.3100311,
+              0.3439184,
+              0.3822572,
+              0.431448,
+              0.4908051,
+              0.5646587,
+              0.653032,
+              0.7581191,
+              0.8821532,
+              1.0282746,
+              1.2007458,
+              1.4027774,
+              1.6468809,
+              1.9367354,
+              2.3007121,
+              2.7276108,
+              3.2495286,
+              3.8790198,
+              4.6410547
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_transition delay_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.0205268,
+              0.0718057,
+              0.0824652,
+              0.0954647,
+              0.1111916,
+              0.1301493,
+              0.1530473,
+              0.1809618,
+              0.2143968,
+              0.2539799,
+              0.3025865,
+              0.3605395,
+              0.4291071,
+              0.5127319,
+              0.6128665,
+              0.7324211,
+              0.876318,
+              1.0488893,
+              1.2558964,
+              1.5055642,
+              1.8003913,
+              2.1582591,
+              2.5915501,
+              3.1003413,
+              3.718905,
+              4.4562074
+            ],
+            [
+              0.0205467,
+              0.0718765,
+              0.0824742,
+              0.095437,
+              0.1111582,
+              0.1301907,
+              0.1530709,
+              0.1807258,
+              0.2140093,
+              0.254445,
+              0.3023811,
+              0.3606574,
+              0.4301529,
+              0.5127055,
+              0.6123487,
+              0.7325803,
+              0.8770226,
+              1.0473434,
+              1.2557426,
+              1.5020162,
+              1.8028592,
+              2.1564885,
+              2.588064,
+              3.1005913,
+              3.7178898,
+              4.4579052
+            ],
+            [
+              0.0204703,
+              0.0719054,
+              0.0823962,
+              0.0953847,
+              0.11096,
+              0.1303393,
+              0.1530983,
+              0.1804926,
+              0.2143219,
+              0.2543303,
+              0.3019844,
+              0.3601106,
+              0.42914,
+              0.5127473,
+              0.6115685,
+              0.732049,
+              0.875247,
+              1.0499249,
+              1.2550205,
+              1.5043383,
+              1.8004308,
+              2.1563553,
+              2.5905418,
+              3.1024712,
+              3.7307961,
+              4.4614525
+            ],
+            [
+              0.020766,
+              0.0719075,
+              0.0825261,
+              0.0956168,
+              0.1113527,
+              0.1300527,
+              0.1531754,
+              0.1807891,
+              0.214262,
+              0.2544342,
+              0.3028041,
+              0.3606445,
+              0.4300688,
+              0.5123318,
+              0.6124418,
+              0.7317525,
+              0.8764844,
+              1.048354,
+              1.2565328,
+              1.5038317,
+              1.8032762,
+              2.1586761,
+              2.5869398,
+              3.1024781,
+              3.7167762,
+              4.4609751
+            ],
+            [
+              0.0292987,
+              0.0772228,
+              0.0874129,
+              0.0995882,
+              0.1146544,
+              0.1328802,
+              0.154984,
+              0.1822066,
+              0.2150453,
+              0.2545246,
+              0.303214,
+              0.3599712,
+              0.4290665,
+              0.5132371,
+              0.6117439,
+              0.7320683,
+              0.8754422,
+              1.0477031,
+              1.2554803,
+              1.5023839,
+              1.8024683,
+              2.1563715,
+              2.5852196,
+              3.1024665,
+              3.7169992,
+              4.4581947
+            ],
+            [
+              0.0397574,
+              0.0865848,
+              0.0972546,
+              0.1089224,
+              0.1224367,
+              0.1402413,
+              0.1614302,
+              0.1878187,
+              0.2198019,
+              0.259627,
+              0.3057688,
+              0.36292,
+              0.430541,
+              0.5139967,
+              0.6135281,
+              0.7323896,
+              0.876182,
+              1.0476326,
+              1.256908,
+              1.5033216,
+              1.800799,
+              2.1586863,
+              2.5881122,
+              3.1001921,
+              3.7159857,
+              4.4617892
+            ],
+            [
+              0.0570082,
+              0.1068825,
+              0.115238,
+              0.1264824,
+              0.1401267,
+              0.1567064,
+              0.1758925,
+              0.2019197,
+              0.2331755,
+              0.2713791,
+              0.3179776,
+              0.3748668,
+              0.4417324,
+              0.5234843,
+              0.6224116,
+              0.7388781,
+              0.8800153,
+              1.0501839,
+              1.2564204,
+              1.5031046,
+              1.7999106,
+              2.158415,
+              2.5875295,
+              3.1140788,
+              3.7233894,
+              4.4624815
+            ],
+            [
+              0.0701489,
+              0.121101,
+              0.129765,
+              0.142659,
+              0.1552463,
+              0.1714827,
+              0.1917988,
+              0.2158141,
+              0.2451592,
+              0.2833614,
+              0.3303622,
+              0.3869476,
+              0.4552017,
+              0.5369406,
+              0.6333756,
+              0.7529378,
+              0.8930027,
+              1.0652644,
+              1.2656473,
+              1.510869,
+              1.8028621,
+              2.1596633,
+              2.5872477,
+              3.099577,
+              3.7163403,
+              4.4611954
+            ],
+            [
+              0.1017858,
+              0.1608245,
+              0.1708851,
+              0.1805707,
+              0.1931248,
+              0.2108933,
+              0.2286811,
+              0.2520638,
+              0.2809907,
+              0.3167724,
+              0.3610512,
+              0.4153236,
+              0.4836241,
+              0.566232,
+              0.6674729,
+              0.7874613,
+              0.9306015,
+              1.0998158,
+              1.303851,
+              1.5484699,
+              1.8376742,
+              2.1877031,
+              2.6121125,
+              3.1131693,
+              3.7232109,
+              4.4597992
+            ],
+            [
+              0.1186361,
+              0.1812717,
+              0.1901025,
+              0.1997604,
+              0.2121231,
+              0.2270683,
+              0.2451532,
+              0.2685236,
+              0.2975378,
+              0.3334088,
+              0.3758544,
+              0.4308979,
+              0.4975451,
+              0.5805216,
+              0.6808654,
+              0.8022584,
+              0.9473622,
+              1.119573,
+              1.3246511,
+              1.5674831,
+              1.8572291,
+              2.2075446,
+              2.6336904,
+              3.1286238,
+              3.7357086,
+              4.4672941
+            ],
+            [
+              0.1311636,
+              0.1974935,
+              0.2066047,
+              0.2164868,
+              0.2296819,
+              0.2446808,
+              0.2663709,
+              0.2883563,
+              0.3148723,
+              0.3481966,
+              0.3913868,
+              0.4452176,
+              0.5121378,
+              0.5950073,
+              0.6946221,
+              0.8146773,
+              0.9607994,
+              1.1353229,
+              1.34239,
+              1.5904252,
+              1.8813333,
+              2.2294335,
+              2.6473488,
+              3.1531449,
+              3.7574135,
+              4.482553
+            ]
+          ]
+        },
+        "sdf_cond": "(!A1&!A2)",
+        "timing_sense": "positive_unate",
+        "when": "(!A1&!A2)"
+      },
+      {
+        "cell_fall delay_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.0985479,
+              0.1597897,
+              0.1697351,
+              0.1814459,
+              0.1952595,
+              0.211573,
+              0.2307386,
+              0.2534611,
+              0.2804283,
+              0.3125892,
+              0.3509524,
+              0.3969074,
+              0.4520496,
+              0.5183687,
+              0.5978865,
+              0.6933746,
+              0.8080657,
+              0.946243,
+              1.1108494,
+              1.308479,
+              1.5476,
+              1.831542,
+              2.1744175,
+              2.5858506,
+              3.0787595,
+              3.6695045
+            ],
+            [
+              0.1028413,
+              0.1633946,
+              0.1733567,
+              0.1852146,
+              0.1991002,
+              0.215354,
+              0.2345016,
+              0.2572008,
+              0.2841594,
+              0.3162363,
+              0.3545709,
+              0.4005696,
+              0.4558954,
+              0.522177,
+              0.6016574,
+              0.6974823,
+              0.8118313,
+              0.9501975,
+              1.113766,
+              1.3128104,
+              1.5513786,
+              1.8363753,
+              2.1764224,
+              2.5890396,
+              3.0835985,
+              3.6754109
+            ],
+            [
+              0.1038776,
+              0.1643419,
+              0.174292,
+              0.1860542,
+              0.1999718,
+              0.216211,
+              0.2353988,
+              0.2580578,
+              0.2849972,
+              0.3170876,
+              0.3554865,
+              0.4016057,
+              0.4567741,
+              0.5230462,
+              0.6026715,
+              0.6976119,
+              0.8125962,
+              0.9511439,
+              1.1156875,
+              1.3148845,
+              1.552074,
+              1.8356432,
+              2.1806681,
+              2.5886624,
+              3.0886493,
+              3.6744137
+            ],
+            [
+              0.116076,
+              0.1758367,
+              0.1858023,
+              0.1975268,
+              0.2112509,
+              0.2275168,
+              0.2466621,
+              0.2693342,
+              0.2963323,
+              0.3284492,
+              0.3668291,
+              0.4127154,
+              0.4678958,
+              0.5342329,
+              0.6138464,
+              0.7093984,
+              0.8234422,
+              0.962354,
+              1.1259104,
+              1.325736,
+              1.5616055,
+              1.8495013,
+              2.1897337,
+              2.6043279,
+              3.0997012,
+              3.6847456
+            ],
+            [
+              0.1604868,
+              0.220797,
+              0.2306229,
+              0.2422181,
+              0.255815,
+              0.2718736,
+              0.2908486,
+              0.3132987,
+              0.3399916,
+              0.3719026,
+              0.4100972,
+              0.4559512,
+              0.5109372,
+              0.5768773,
+              0.6564682,
+              0.7518227,
+              0.865663,
+              1.0044996,
+              1.1701071,
+              1.3674878,
+              1.6033164,
+              1.8916979,
+              2.2308426,
+              2.6466158,
+              3.1395322,
+              3.7267767
+            ],
+            [
+              0.2194303,
+              0.2807805,
+              0.2907948,
+              0.3023204,
+              0.3160293,
+              0.3322962,
+              0.3514118,
+              0.3740038,
+              0.4007559,
+              0.4325163,
+              0.4704506,
+              0.5159513,
+              0.5706291,
+              0.636355,
+              0.7153775,
+              0.810236,
+              0.9248566,
+              1.0630696,
+              1.2268738,
+              1.4237818,
+              1.6644862,
+              1.9466295,
+              2.2934585,
+              2.6992959,
+              3.191565,
+              3.7843741
+            ],
+            [
+              0.3178481,
+              0.384633,
+              0.3951587,
+              0.4075183,
+              0.4218215,
+              0.4384114,
+              0.457896,
+              0.4811341,
+              0.5081575,
+              0.5404461,
+              0.5787327,
+              0.6244385,
+              0.6790564,
+              0.7445499,
+              0.8231716,
+              0.917559,
+              1.0310837,
+              1.1678019,
+              1.3324967,
+              1.5290283,
+              1.7663994,
+              2.0532862,
+              2.3923266,
+              2.8062939,
+              3.2950447,
+              3.889717
+            ],
+            [
+              0.4042937,
+              0.4751653,
+              0.4863503,
+              0.4994369,
+              0.5146236,
+              0.5322094,
+              0.5524424,
+              0.5762671,
+              0.6045696,
+              0.6379184,
+              0.6775929,
+              0.7244944,
+              0.7801164,
+              0.8465799,
+              0.9251584,
+              1.0193207,
+              1.1327165,
+              1.2688028,
+              1.4324578,
+              1.6292413,
+              1.8658073,
+              2.151225,
+              2.4931246,
+              2.901027,
+              3.3955647,
+              3.988057
+            ],
+            [
+              0.6172814,
+              0.6973482,
+              0.7098376,
+              0.7241824,
+              0.7407541,
+              0.7601889,
+              0.7826599,
+              0.8085099,
+              0.8389935,
+              0.8748658,
+              0.9172477,
+              0.9672261,
+              1.0268669,
+              1.0976917,
+              1.181619,
+              1.2807917,
+              1.3978073,
+              1.5359054,
+              1.6995833,
+              1.8957911,
+              2.1314017,
+              2.414259,
+              2.7545519,
+              3.1645779,
+              3.6566592,
+              4.2479189
+            ],
+            [
+              0.7199806,
+              0.8038971,
+              0.8169032,
+              0.8318876,
+              0.8491484,
+              0.8692092,
+              0.8924954,
+              0.9197351,
+              0.9505419,
+              0.9877974,
+              1.0314427,
+              1.082917,
+              1.143887,
+              1.2162868,
+              1.302335,
+              1.4043434,
+              1.5247035,
+              1.6667329,
+              1.8340505,
+              2.0314825,
+              2.2665532,
+              2.5496174,
+              2.8889402,
+              3.2974166,
+              3.7889114,
+              4.3777635
+            ],
+            [
+              0.8191922,
+              0.9068217,
+              0.9202471,
+              0.9356318,
+              0.953391,
+              0.9740647,
+              0.9980907,
+              1.0260389,
+              1.0577579,
+              1.0964216,
+              1.1408716,
+              1.1936523,
+              1.2563802,
+              1.3301887,
+              1.4179609,
+              1.5220341,
+              1.6451491,
+              1.7905345,
+              1.9619102,
+              2.1635196,
+              2.4014464,
+              2.6841378,
+              3.0232468,
+              3.4307848,
+              3.9212678,
+              4.509956
+            ]
+          ]
+        },
+        "cell_rise delay_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.0638034,
+              0.107542,
+              0.1151042,
+              0.1242667,
+              0.1348181,
+              0.1478455,
+              0.1635788,
+              0.1826983,
+              0.2052959,
+              0.2314009,
+              0.2644357,
+              0.3036399,
+              0.3499563,
+              0.405903,
+              0.4726738,
+              0.5541596,
+              0.6507379,
+              0.767147,
+              0.9067784,
+              1.0743219,
+              1.2725981,
+              1.516572,
+              1.8033146,
+              2.1456501,
+              2.5637426,
+              3.0574554
+            ],
+            [
+              0.0681487,
+              0.1116357,
+              0.1191875,
+              0.1283473,
+              0.1393114,
+              0.1522687,
+              0.1677527,
+              0.1866424,
+              0.2094046,
+              0.2360234,
+              0.2685729,
+              0.307661,
+              0.3552734,
+              0.4101302,
+              0.4773849,
+              0.5575337,
+              0.6544411,
+              0.7708892,
+              0.9093308,
+              1.0772245,
+              1.2805297,
+              1.5165516,
+              1.8094606,
+              2.1534114,
+              2.569948,
+              3.0705944
+            ],
+            [
+              0.0702683,
+              0.1137099,
+              0.12127,
+              0.1305471,
+              0.1415454,
+              0.1545443,
+              0.1700771,
+              0.1887342,
+              0.2114334,
+              0.238291,
+              0.2705382,
+              0.3095821,
+              0.3560247,
+              0.4126102,
+              0.4795589,
+              0.5605093,
+              0.6571145,
+              0.7730766,
+              0.9124894,
+              1.0789448,
+              1.2798244,
+              1.5223253,
+              1.8097015,
+              2.1564315,
+              2.5712494,
+              3.0710421
+            ],
+            [
+              0.0799954,
+              0.1225169,
+              0.1301287,
+              0.1391207,
+              0.1500147,
+              0.162909,
+              0.1786407,
+              0.1974732,
+              0.2196855,
+              0.2465927,
+              0.2793024,
+              0.3175721,
+              0.3646742,
+              0.4206193,
+              0.4880134,
+              0.5682913,
+              0.6653493,
+              0.7815586,
+              0.9198361,
+              1.0863502,
+              1.2910331,
+              1.5310317,
+              1.8200469,
+              2.1616469,
+              2.5809888,
+              3.0746148
+            ],
+            [
+              0.0936128,
+              0.1378165,
+              0.1453671,
+              0.1544075,
+              0.1650677,
+              0.1777338,
+              0.1930969,
+              0.211516,
+              0.2337173,
+              0.2604303,
+              0.2928175,
+              0.3310648,
+              0.3770304,
+              0.4336732,
+              0.5012582,
+              0.5811535,
+              0.6779273,
+              0.7937502,
+              0.932931,
+              1.0995977,
+              1.3029671,
+              1.5392133,
+              1.8294133,
+              2.1783673,
+              2.5897751,
+              3.0911567
+            ],
+            [
+              0.0926142,
+              0.1415715,
+              0.1492636,
+              0.1585454,
+              0.1693601,
+              0.181423,
+              0.1967542,
+              0.2156802,
+              0.2376722,
+              0.2642691,
+              0.296354,
+              0.3342207,
+              0.3799433,
+              0.4352327,
+              0.5017312,
+              0.5824617,
+              0.6782583,
+              0.7933623,
+              0.9323663,
+              1.0987312,
+              1.2997284,
+              1.5421012,
+              1.8292485,
+              2.1779716,
+              2.5870073,
+              3.0899323
+            ],
+            [
+              0.070071,
+              0.1278674,
+              0.1361048,
+              0.1455491,
+              0.1566362,
+              0.1691085,
+              0.1845537,
+              0.2031652,
+              0.2253113,
+              0.2521243,
+              0.2848673,
+              0.3238761,
+              0.3700725,
+              0.4262976,
+              0.4930467,
+              0.5720967,
+              0.6683006,
+              0.7820679,
+              0.9204603,
+              1.0872273,
+              1.2875848,
+              1.5250291,
+              1.8159672,
+              2.1636797,
+              2.5733327,
+              3.0765031
+            ],
+            [
+              0.0369084,
+              0.1012154,
+              0.1104215,
+              0.1204024,
+              0.1325018,
+              0.1458521,
+              0.1615647,
+              0.1803838,
+              0.2018844,
+              0.2284637,
+              0.2608525,
+              0.3009546,
+              0.3481012,
+              0.4046871,
+              0.4728474,
+              0.5534234,
+              0.6502222,
+              0.7669756,
+              0.9038387,
+              1.0677977,
+              1.2664644,
+              1.5063381,
+              1.794483,
+              2.1368549,
+              2.5566482,
+              3.0544205
+            ],
+            [
+              -0.0763411,
+              0.0008001,
+              0.0115218,
+              0.0234528,
+              0.0370633,
+              0.0526343,
+              0.070376,
+              0.0906505,
+              0.1128621,
+              0.1401301,
+              0.1727069,
+              0.2118348,
+              0.2593882,
+              0.3161728,
+              0.3860348,
+              0.4681683,
+              0.5687132,
+              0.6885947,
+              0.8301111,
+              1.000055,
+              1.2018004,
+              1.4400141,
+              1.7279489,
+              2.0691127,
+              2.4811271,
+              2.9803398
+            ],
+            [
+              -0.1415023,
+              -0.0583104,
+              -0.0473883,
+              -0.0340545,
+              -0.0198134,
+              -0.0036107,
+              0.0149103,
+              0.0360796,
+              0.0597633,
+              0.0874077,
+              0.1204043,
+              0.1594064,
+              0.2062241,
+              0.2636705,
+              0.3327679,
+              0.4169941,
+              0.51592,
+              0.6371138,
+              0.781903,
+              0.9505193,
+              1.1556636,
+              1.4002539,
+              1.6896514,
+              2.0330082,
+              2.4443156,
+              2.9378973
+            ],
+            [
+              -0.2090462,
+              -0.1213166,
+              -0.108808,
+              -0.0951325,
+              -0.079928,
+              -0.0619204,
+              -0.0423678,
+              -0.0213,
+              0.002312,
+              0.0308613,
+              0.0643221,
+              0.1035533,
+              0.1516344,
+              0.2087653,
+              0.2768756,
+              0.3602465,
+              0.4634376,
+              0.5825727,
+              0.7278383,
+              0.9021556,
+              1.1078651,
+              1.3535782,
+              1.6447487,
+              1.9907154,
+              2.405125,
+              2.8990292
+            ]
+          ]
+        },
+        "fall_transition delay_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.0313951,
+              0.0879532,
+              0.0985839,
+              0.111297,
+              0.1266773,
+              0.1454959,
+              0.1681654,
+              0.1958103,
+              0.2290461,
+              0.2691018,
+              0.3186492,
+              0.378136,
+              0.4487231,
+              0.532748,
+              0.6366675,
+              0.7618512,
+              0.9119743,
+              1.0910483,
+              1.3051378,
+              1.5635336,
+              1.8694029,
+              2.2481972,
+              2.6939284,
+              3.2209202,
+              3.8564143,
+              4.6335562
+            ],
+            [
+              0.0314077,
+              0.0877026,
+              0.098341,
+              0.1111644,
+              0.1268617,
+              0.1455692,
+              0.1683916,
+              0.1957103,
+              0.2289396,
+              0.2691985,
+              0.3184834,
+              0.3771105,
+              0.4478119,
+              0.5339428,
+              0.637522,
+              0.7634434,
+              0.9114298,
+              1.0882224,
+              1.3059174,
+              1.5611838,
+              1.8774411,
+              2.2460895,
+              2.6890097,
+              3.2185468,
+              3.8630161,
+              4.6369908
+            ],
+            [
+              0.0313326,
+              0.0876987,
+              0.0984317,
+              0.1109435,
+              0.1266868,
+              0.1454643,
+              0.167623,
+              0.1957477,
+              0.2292125,
+              0.2696364,
+              0.3180499,
+              0.377314,
+              0.4482324,
+              0.5358516,
+              0.6378546,
+              0.7630445,
+              0.9113289,
+              1.0917296,
+              1.3038161,
+              1.562914,
+              1.8699264,
+              2.2425388,
+              2.69254,
+              3.2183736,
+              3.8671923,
+              4.6290545
+            ],
+            [
+              0.0314944,
+              0.087945,
+              0.0985817,
+              0.1115662,
+              0.1266561,
+              0.1454516,
+              0.1682236,
+              0.1958551,
+              0.2292552,
+              0.268964,
+              0.3185607,
+              0.3776115,
+              0.4488696,
+              0.5333327,
+              0.6370114,
+              0.7617437,
+              0.9114179,
+              1.0881497,
+              1.3048802,
+              1.5612946,
+              1.8733637,
+              2.2451756,
+              2.686916,
+              3.2262049,
+              3.866794,
+              4.6310369
+            ],
+            [
+              0.035306,
+              0.0915564,
+              0.1017129,
+              0.114324,
+              0.1293639,
+              0.1474029,
+              0.1695756,
+              0.1969591,
+              0.2301293,
+              0.270558,
+              0.318609,
+              0.3778887,
+              0.4494847,
+              0.5334246,
+              0.6368402,
+              0.7622821,
+              0.9086445,
+              1.0880007,
+              1.3074299,
+              1.5611217,
+              1.8728781,
+              2.2499702,
+              2.6868754,
+              3.2267375,
+              3.8674717,
+              4.6297437
+            ],
+            [
+              0.0417645,
+              0.0982133,
+              0.1086949,
+              0.1204346,
+              0.1354078,
+              0.1536558,
+              0.1756267,
+              0.2023566,
+              0.2346065,
+              0.2741143,
+              0.3221138,
+              0.3800535,
+              0.4496691,
+              0.5360319,
+              0.6368623,
+              0.7613297,
+              0.9100473,
+              1.0921665,
+              1.303943,
+              1.5620186,
+              1.8760797,
+              2.2453794,
+              2.6944951,
+              3.2189509,
+              3.8668269,
+              4.6294439
+            ],
+            [
+              0.0550177,
+              0.1183914,
+              0.1282539,
+              0.1402609,
+              0.1530315,
+              0.1703922,
+              0.1921393,
+              0.2174266,
+              0.2492453,
+              0.2873768,
+              0.3326292,
+              0.3889487,
+              0.4581191,
+              0.5413173,
+              0.642417,
+              0.7643214,
+              0.9147189,
+              1.0921193,
+              1.3027919,
+              1.5617146,
+              1.8777784,
+              2.2440161,
+              2.686406,
+              3.2248686,
+              3.8662793,
+              4.6231032
+            ],
+            [
+              0.0672094,
+              0.1316344,
+              0.1433978,
+              0.1574225,
+              0.1718905,
+              0.187764,
+              0.2087131,
+              0.2351012,
+              0.266776,
+              0.3045141,
+              0.3512231,
+              0.4058061,
+              0.4736742,
+              0.5555673,
+              0.6530387,
+              0.772598,
+              0.9182593,
+              1.0964398,
+              1.3081485,
+              1.5606586,
+              1.8781297,
+              2.2462969,
+              2.6918212,
+              3.2183589,
+              3.8560608,
+              4.6299076
+            ],
+            [
+              0.0960565,
+              0.1660573,
+              0.1777415,
+              0.1925678,
+              0.2090522,
+              0.229052,
+              0.2519522,
+              0.2793233,
+              0.3093822,
+              0.3492557,
+              0.3964022,
+              0.4548557,
+              0.5242822,
+              0.6070432,
+              0.707181,
+              0.8234826,
+              0.9627286,
+              1.1301279,
+              1.3357248,
+              1.5867325,
+              1.8865722,
+              2.2477631,
+              2.6964786,
+              3.2199055,
+              3.8651287,
+              4.6364281
+            ],
+            [
+              0.1077537,
+              0.1844918,
+              0.1972451,
+              0.2115723,
+              0.2278347,
+              0.2484783,
+              0.2710478,
+              0.297207,
+              0.3297171,
+              0.369063,
+              0.4190115,
+              0.4766301,
+              0.5475357,
+              0.6317619,
+              0.7320235,
+              0.852704,
+              0.9935069,
+              1.1607291,
+              1.362591,
+              1.6064939,
+              1.9028805,
+              2.2670492,
+              2.6996574,
+              3.2254717,
+              3.8608614,
+              4.6354569
+            ],
+            [
+              0.1214725,
+              0.1972691,
+              0.2094989,
+              0.2271447,
+              0.2439715,
+              0.265243,
+              0.2881569,
+              0.3173695,
+              0.3505831,
+              0.3895677,
+              0.4376723,
+              0.496599,
+              0.5684424,
+              0.6550461,
+              0.7565717,
+              0.8794351,
+              1.0262347,
+              1.1925843,
+              1.3923609,
+              1.6332707,
+              1.9264319,
+              2.287031,
+              2.7144358,
+              3.2389444,
+              3.8665848,
+              4.6357642
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_transition delay_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.0205248,
+              0.0718099,
+              0.0824949,
+              0.0953797,
+              0.1111571,
+              0.1301326,
+              0.1527561,
+              0.1804507,
+              0.2144622,
+              0.2538168,
+              0.3022846,
+              0.3606014,
+              0.428948,
+              0.5122898,
+              0.6114016,
+              0.7318434,
+              0.8765755,
+              1.0482969,
+              1.2540562,
+              1.5016298,
+              1.8018627,
+              2.1580431,
+              2.5877338,
+              3.1051026,
+              3.7217258,
+              4.4585904
+            ],
+            [
+              0.020484,
+              0.071799,
+              0.0824709,
+              0.0953804,
+              0.1109194,
+              0.1303611,
+              0.1531636,
+              0.1807311,
+              0.2138392,
+              0.2535127,
+              0.3028478,
+              0.3608249,
+              0.430094,
+              0.5133853,
+              0.6131335,
+              0.732518,
+              0.876738,
+              1.0489668,
+              1.2576746,
+              1.5019502,
+              1.7997892,
+              2.1566187,
+              2.5872604,
+              3.0980589,
+              3.7197618,
+              4.4579909
+            ],
+            [
+              0.0205422,
+              0.0717671,
+              0.0823584,
+              0.0953094,
+              0.1111893,
+              0.1301429,
+              0.1530181,
+              0.180601,
+              0.2144269,
+              0.2542399,
+              0.3019643,
+              0.360163,
+              0.4290672,
+              0.5127095,
+              0.6123373,
+              0.7327932,
+              0.8762319,
+              1.0500336,
+              1.2554707,
+              1.5014011,
+              1.801237,
+              2.1591275,
+              2.585379,
+              3.1005285,
+              3.7210295,
+              4.4535695
+            ],
+            [
+              0.0207823,
+              0.0721001,
+              0.0826453,
+              0.09542,
+              0.1112699,
+              0.1302109,
+              0.1530092,
+              0.1807672,
+              0.2143339,
+              0.2544265,
+              0.3027791,
+              0.3601769,
+              0.4293372,
+              0.5121077,
+              0.6128598,
+              0.7325851,
+              0.877207,
+              1.0488608,
+              1.2564971,
+              1.5059184,
+              1.8021921,
+              2.1577291,
+              2.5861628,
+              3.102594,
+              3.7159604,
+              4.4568753
+            ],
+            [
+              0.0293574,
+              0.0772315,
+              0.0874002,
+              0.0995891,
+              0.114596,
+              0.1328569,
+              0.1552594,
+              0.1820379,
+              0.2149553,
+              0.2542225,
+              0.3024381,
+              0.3605604,
+              0.4288109,
+              0.5118255,
+              0.6122742,
+              0.7319587,
+              0.8762862,
+              1.0472923,
+              1.2573267,
+              1.503721,
+              1.8009421,
+              2.1599105,
+              2.5894684,
+              3.10198,
+              3.7206922,
+              4.4597677
+            ],
+            [
+              0.0402327,
+              0.0867707,
+              0.0963807,
+              0.1080388,
+              0.1226356,
+              0.140323,
+              0.1621978,
+              0.1882174,
+              0.2198595,
+              0.2593293,
+              0.3060728,
+              0.3632681,
+              0.4308441,
+              0.5131267,
+              0.6135365,
+              0.7311952,
+              0.877012,
+              1.0469283,
+              1.255364,
+              1.5042507,
+              1.8006069,
+              2.1594362,
+              2.5860525,
+              3.0991192,
+              3.7169186,
+              4.4611688
+            ],
+            [
+              0.0578794,
+              0.1058976,
+              0.1152862,
+              0.1262539,
+              0.1398504,
+              0.1566179,
+              0.1765385,
+              0.2020075,
+              0.2333221,
+              0.2721204,
+              0.3185534,
+              0.3748687,
+              0.4424819,
+              0.5237384,
+              0.6238018,
+              0.7409466,
+              0.8801152,
+              1.0519262,
+              1.257767,
+              1.5043476,
+              1.8017334,
+              2.1565978,
+              2.5948168,
+              3.1002232,
+              3.7171739,
+              4.4621378
+            ],
+            [
+              0.0720822,
+              0.1228753,
+              0.1314719,
+              0.1438971,
+              0.1561016,
+              0.1725664,
+              0.1923039,
+              0.2164776,
+              0.2459055,
+              0.2834951,
+              0.3302069,
+              0.386557,
+              0.4546584,
+              0.5367284,
+              0.6346606,
+              0.7539008,
+              0.8938564,
+              1.0641715,
+              1.2675473,
+              1.5133464,
+              1.8053895,
+              2.1582305,
+              2.5847564,
+              3.1011687,
+              3.7168044,
+              4.4717052
+            ],
+            [
+              0.1064135,
+              0.1651406,
+              0.1758674,
+              0.1858872,
+              0.1974172,
+              0.2126176,
+              0.2293034,
+              0.2527104,
+              0.2811084,
+              0.3160744,
+              0.3608325,
+              0.4158235,
+              0.4819381,
+              0.565673,
+              0.664725,
+              0.7861298,
+              0.930511,
+              1.1016682,
+              1.306189,
+              1.5490254,
+              1.8400172,
+              2.1973405,
+              2.6120079,
+              3.1159482,
+              3.7219612,
+              4.4643755
+            ],
+            [
+              0.1218689,
+              0.1829022,
+              0.1953333,
+              0.2049819,
+              0.2171517,
+              0.2318674,
+              0.2509186,
+              0.2715374,
+              0.2979286,
+              0.3320446,
+              0.3752887,
+              0.4292795,
+              0.4967206,
+              0.5787235,
+              0.6792139,
+              0.7994115,
+              0.9458564,
+              1.1180481,
+              1.3253789,
+              1.5686235,
+              1.8677444,
+              2.2100659,
+              2.6405274,
+              3.1346041,
+              3.7438364,
+              4.4714641
+            ],
+            [
+              0.1348861,
+              0.2029387,
+              0.210803,
+              0.2215677,
+              0.234174,
+              0.247847,
+              0.2670477,
+              0.2904199,
+              0.3165871,
+              0.3502137,
+              0.3923394,
+              0.4446303,
+              0.511886,
+              0.5921595,
+              0.6912764,
+              0.8125838,
+              0.9575902,
+              1.1340221,
+              1.3431249,
+              1.5904175,
+              1.8828152,
+              2.2353583,
+              2.6511042,
+              3.1690672,
+              3.7601926,
+              4.4848703
+            ]
+          ]
+        },
+        "sdf_cond": "(!A1&A2)",
+        "timing_sense": "positive_unate",
+        "when": "(!A1&A2)"
+      },
+      {
+        "cell_fall delay_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.1171616,
+              0.1805954,
+              0.190894,
+              0.2028347,
+              0.2165098,
+              0.2328203,
+              0.2520956,
+              0.2748261,
+              0.3018142,
+              0.3339232,
+              0.3722862,
+              0.4182347,
+              0.4733144,
+              0.5393484,
+              0.6187373,
+              0.7144813,
+              0.8290281,
+              0.9658057,
+              1.1321201,
+              1.3298311,
+              1.5662427,
+              1.8528037,
+              2.1954121,
+              2.6041911,
+              3.0988541,
+              3.6908161
+            ],
+            [
+              0.1202586,
+              0.1832895,
+              0.1933592,
+              0.2051724,
+              0.2191613,
+              0.2355448,
+              0.2545339,
+              0.2774102,
+              0.3042775,
+              0.3363961,
+              0.3747535,
+              0.4207158,
+              0.4758941,
+              0.5420125,
+              0.6215756,
+              0.7166068,
+              0.8311589,
+              0.969261,
+              1.1338669,
+              1.3312317,
+              1.5698135,
+              1.8551426,
+              2.1962248,
+              2.6077069,
+              3.1011975,
+              3.6923822
+            ],
+            [
+              0.1210984,
+              0.1838232,
+              0.1941922,
+              0.205995,
+              0.2198212,
+              0.2361421,
+              0.2553433,
+              0.2780984,
+              0.3050827,
+              0.337194,
+              0.3755429,
+              0.4214992,
+              0.4765423,
+              0.5426182,
+              0.6220439,
+              0.7176227,
+              0.8326043,
+              0.9692064,
+              1.1349091,
+              1.3334024,
+              1.5695785,
+              1.8558671,
+              2.1987598,
+              2.6080533,
+              3.1013299,
+              3.693595
+            ],
+            [
+              0.1322346,
+              0.1949276,
+              0.2048662,
+              0.2167128,
+              0.2305474,
+              0.2469077,
+              0.2659673,
+              0.2887624,
+              0.315704,
+              0.3478127,
+              0.386148,
+              0.4321193,
+              0.4872417,
+              0.5534407,
+              0.6329091,
+              0.7279187,
+              0.8426718,
+              0.9811899,
+              1.1447288,
+              1.3436539,
+              1.5819698,
+              1.8667292,
+              2.2092614,
+              2.6180835,
+              3.1131491,
+              3.7047494
+            ],
+            [
+              0.1783002,
+              0.2406509,
+              0.2505376,
+              0.2623067,
+              0.2759727,
+              0.2921076,
+              0.3111471,
+              0.3336514,
+              0.360435,
+              0.3923729,
+              0.430689,
+              0.4764251,
+              0.5312744,
+              0.5973071,
+              0.6767059,
+              0.7720061,
+              0.8863553,
+              1.0244048,
+              1.1886446,
+              1.3870226,
+              1.6250836,
+              1.9092694,
+              2.2524376,
+              2.663415,
+              3.1544325,
+              3.7475258
+            ],
+            [
+              0.240951,
+              0.3044415,
+              0.3145935,
+              0.3264092,
+              0.3401297,
+              0.3563018,
+              0.3754041,
+              0.397935,
+              0.4245829,
+              0.4562891,
+              0.4941389,
+              0.5395532,
+              0.5941198,
+              0.6597839,
+              0.7387778,
+              0.8338097,
+              0.9473979,
+              1.0853027,
+              1.250624,
+              1.447511,
+              1.6857922,
+              1.9704862,
+              2.3120814,
+              2.7237563,
+              3.2169658,
+              3.8057264
+            ],
+            [
+              0.3476272,
+              0.4165124,
+              0.4271386,
+              0.4395896,
+              0.4540576,
+              0.4704197,
+              0.4897934,
+              0.5125806,
+              0.539488,
+              0.5713355,
+              0.6091559,
+              0.6545161,
+              0.7089682,
+              0.7743015,
+              0.8527027,
+              0.9467959,
+              1.0600828,
+              1.1964313,
+              1.3609487,
+              1.558961,
+              1.7962345,
+              2.0792383,
+              2.4230287,
+              2.8314915,
+              3.3243626,
+              3.9173456
+            ],
+            [
+              0.44095,
+              0.5141748,
+              0.5256367,
+              0.5387462,
+              0.5540141,
+              0.5716945,
+              0.5917145,
+              0.6152385,
+              0.6431847,
+              0.6760227,
+              0.714993,
+              0.7612318,
+              0.816117,
+              0.8815104,
+              0.9600974,
+              1.0541659,
+              1.1672977,
+              1.3032702,
+              1.4665322,
+              1.6631206,
+              1.8998738,
+              2.1849202,
+              2.5269484,
+              2.9347909,
+              3.4286505,
+              4.0204262
+            ],
+            [
+              0.6699928,
+              0.7529716,
+              0.7656448,
+              0.7802261,
+              0.7970413,
+              0.8166314,
+              0.8392171,
+              0.8654346,
+              0.8958951,
+              0.9311978,
+              0.9725498,
+              1.0217746,
+              1.0803498,
+              1.1500495,
+              1.2328255,
+              1.3308906,
+              1.4469994,
+              1.5840913,
+              1.7472936,
+              1.9431372,
+              2.17852,
+              2.4609921,
+              2.8019373,
+              3.210934,
+              3.7025452,
+              4.293768
+            ],
+            [
+              0.7803292,
+              0.8669434,
+              0.8803369,
+              0.8956346,
+              0.9130618,
+              0.9334934,
+              0.956995,
+              0.9840937,
+              1.0154967,
+              1.0517924,
+              1.094734,
+              1.1454659,
+              1.2052255,
+              1.2766027,
+              1.3614749,
+              1.4620661,
+              1.5811998,
+              1.7219683,
+              1.8879365,
+              2.0844042,
+              2.3194611,
+              2.6019551,
+              2.9413159,
+              3.3495493,
+              3.8409174,
+              4.4316026
+            ],
+            [
+              0.8862363,
+              0.9767223,
+              0.9904503,
+              1.0062076,
+              1.0244363,
+              1.0455388,
+              1.0695914,
+              1.0976169,
+              1.1300466,
+              1.1676331,
+              1.2116483,
+              1.2637742,
+              1.3252502,
+              1.3979664,
+              1.484349,
+              1.5870969,
+              1.7089852,
+              1.8532222,
+              2.0230702,
+              2.2230016,
+              2.4601705,
+              2.7419489,
+              3.0811311,
+              3.4884153,
+              3.978696,
+              4.5682667
+            ]
+          ]
+        },
+        "cell_rise delay_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.0700753,
+              0.1150622,
+              0.1228299,
+              0.131894,
+              0.1429124,
+              0.155929,
+              0.1716075,
+              0.1903428,
+              0.2126364,
+              0.2396187,
+              0.272125,
+              0.3108979,
+              0.3577349,
+              0.4125512,
+              0.4802068,
+              0.5611278,
+              0.6572614,
+              0.7750711,
+              0.91424,
+              1.0813084,
+              1.2835528,
+              1.520058,
+              1.8130515,
+              2.1566293,
+              2.5729354,
+              3.0736971
+            ],
+            [
+              0.0743779,
+              0.119068,
+              0.1268476,
+              0.1360066,
+              0.1469684,
+              0.1598952,
+              0.1754955,
+              0.1941076,
+              0.2166372,
+              0.2437478,
+              0.2762639,
+              0.3147654,
+              0.3624093,
+              0.417434,
+              0.4847154,
+              0.5660386,
+              0.6620996,
+              0.7783507,
+              0.9172531,
+              1.0845151,
+              1.2840823,
+              1.5281493,
+              1.8110706,
+              2.1628623,
+              2.5735702,
+              3.0766536
+            ],
+            [
+              0.0764934,
+              0.1210902,
+              0.128943,
+              0.1380663,
+              0.1490515,
+              0.1620514,
+              0.1775401,
+              0.1962799,
+              0.2188581,
+              0.2459866,
+              0.2779167,
+              0.3163963,
+              0.3633163,
+              0.4187689,
+              0.4868191,
+              0.5674122,
+              0.6636837,
+              0.7801494,
+              0.91901,
+              1.0865098,
+              1.2883731,
+              1.5288384,
+              1.8185804,
+              2.1590727,
+              2.5805458,
+              3.0789509
+            ],
+            [
+              0.0861786,
+              0.1302474,
+              0.1379391,
+              0.1469575,
+              0.157837,
+              0.1709303,
+              0.1865604,
+              0.2051859,
+              0.2273875,
+              0.254533,
+              0.2871373,
+              0.3256214,
+              0.3727749,
+              0.4273969,
+              0.4957084,
+              0.5757249,
+              0.6728519,
+              0.7880747,
+              0.9274578,
+              1.0946697,
+              1.2964334,
+              1.5390546,
+              1.8258223,
+              2.1724733,
+              2.5888423,
+              3.0835607
+            ],
+            [
+              0.1034903,
+              0.1496401,
+              0.1571868,
+              0.1662953,
+              0.1769449,
+              0.1896074,
+              0.2048721,
+              0.2232782,
+              0.2453254,
+              0.2718877,
+              0.3038415,
+              0.3420531,
+              0.3889611,
+              0.4441975,
+              0.512204,
+              0.5934328,
+              0.6880521,
+              0.8061219,
+              0.9440739,
+              1.1085763,
+              1.3121104,
+              1.5545049,
+              1.8407945,
+              2.184894,
+              2.6046154,
+              3.0981897
+            ],
+            [
+              0.105907,
+              0.1571195,
+              0.165055,
+              0.1742885,
+              0.1851229,
+              0.1982163,
+              0.2131966,
+              0.2313446,
+              0.2531576,
+              0.2794581,
+              0.3109547,
+              0.3492937,
+              0.3947562,
+              0.4499695,
+              0.516291,
+              0.5968052,
+              0.69385,
+              0.8072957,
+              0.9484975,
+              1.1136201,
+              1.3137006,
+              1.5577097,
+              1.8427125,
+              2.1884741,
+              2.6069888,
+              3.1022886
+            ],
+            [
+              0.0867548,
+              0.1468848,
+              0.1555271,
+              0.1654696,
+              0.1765908,
+              0.1898087,
+              0.2056044,
+              0.2243671,
+              0.2466174,
+              0.2722028,
+              0.3040094,
+              0.3434737,
+              0.389501,
+              0.4454079,
+              0.5114976,
+              0.5903556,
+              0.685485,
+              0.7997109,
+              0.9375264,
+              1.1043704,
+              1.302206,
+              1.5426411,
+              1.8342682,
+              2.1806781,
+              2.5910123,
+              3.0903808
+            ],
+            [
+              0.0549516,
+              0.1222969,
+              0.1318418,
+              0.142454,
+              0.1549221,
+              0.168674,
+              0.1846108,
+              0.2036396,
+              0.2259199,
+              0.2519378,
+              0.2839009,
+              0.322555,
+              0.3697428,
+              0.4262415,
+              0.4929284,
+              0.5737407,
+              0.6717341,
+              0.7861841,
+              0.9235596,
+              1.0867286,
+              1.2852854,
+              1.5252218,
+              1.8134696,
+              2.1553854,
+              2.5759761,
+              3.0734124
+            ],
+            [
+              -0.0597185,
+              0.0216184,
+              0.0329002,
+              0.0454134,
+              0.0597922,
+              0.0758773,
+              0.0939904,
+              0.1147645,
+              0.1380671,
+              0.1652256,
+              0.1971043,
+              0.235659,
+              0.2827059,
+              0.3395872,
+              0.4079398,
+              0.490291,
+              0.5894474,
+              0.70681,
+              0.8510934,
+              1.01859,
+              1.2179413,
+              1.4588072,
+              1.7459484,
+              2.0864739,
+              2.4987759,
+              2.998094
+            ],
+            [
+              -0.1261483,
+              -0.0395329,
+              -0.027556,
+              -0.0140906,
+              0.0010709,
+              0.0181138,
+              0.0373453,
+              0.0586749,
+              0.0834058,
+              0.1111454,
+              0.1434283,
+              0.182494,
+              0.2293124,
+              0.2863241,
+              0.3552794,
+              0.4363115,
+              0.536552,
+              0.6565876,
+              0.7993456,
+              0.9692361,
+              1.1739782,
+              1.4169524,
+              1.7036639,
+              2.0491777,
+              2.4584534,
+              2.953459
+            ],
+            [
+              -0.1957399,
+              -0.1043814,
+              -0.0914571,
+              -0.0768668,
+              -0.0609549,
+              -0.0432838,
+              -0.0234659,
+              -0.0009802,
+              0.0246334,
+              0.0535962,
+              0.0865214,
+              0.1263283,
+              0.1731502,
+              0.230005,
+              0.2990385,
+              0.3809486,
+              0.482721,
+              0.6017882,
+              0.7462466,
+              0.92007,
+              1.1224225,
+              1.3672231,
+              1.6600944,
+              2.007307,
+              2.4208471,
+              2.9139644
+            ]
+          ]
+        },
+        "fall_transition delay_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.0359624,
+              0.0922641,
+              0.1028006,
+              0.1151709,
+              0.1305858,
+              0.1486085,
+              0.1705824,
+              0.197913,
+              0.2312687,
+              0.2707143,
+              0.3190184,
+              0.3779122,
+              0.449849,
+              0.5360762,
+              0.6389139,
+              0.7627443,
+              0.9117151,
+              1.0893916,
+              1.3031387,
+              1.5597729,
+              1.8719277,
+              2.2435698,
+              2.6941365,
+              3.2183101,
+              3.8612167,
+              4.6357731
+            ],
+            [
+              0.0357272,
+              0.092994,
+              0.1031436,
+              0.1151873,
+              0.1303574,
+              0.1486665,
+              0.1707069,
+              0.1978447,
+              0.2308626,
+              0.2711945,
+              0.3194262,
+              0.3781742,
+              0.4480605,
+              0.535972,
+              0.639498,
+              0.7605048,
+              0.9122161,
+              1.0901129,
+              1.3032049,
+              1.5607327,
+              1.875792,
+              2.2456395,
+              2.6843618,
+              3.2205461,
+              3.8601073,
+              4.628983
+            ],
+            [
+              0.0362424,
+              0.093082,
+              0.1029481,
+              0.1153683,
+              0.1303648,
+              0.1484798,
+              0.1708854,
+              0.198019,
+              0.2311908,
+              0.2706302,
+              0.3191706,
+              0.3785022,
+              0.4500981,
+              0.5357445,
+              0.6390167,
+              0.7624893,
+              0.911794,
+              1.0918131,
+              1.3049029,
+              1.5613806,
+              1.8773127,
+              2.2461302,
+              2.6946969,
+              3.2260496,
+              3.8660724,
+              4.6298556
+            ],
+            [
+              0.0354623,
+              0.0929282,
+              0.1029766,
+              0.1153566,
+              0.1302258,
+              0.1488628,
+              0.1708898,
+              0.1978836,
+              0.2310121,
+              0.2711651,
+              0.3193427,
+              0.3783881,
+              0.4494517,
+              0.5351271,
+              0.6367208,
+              0.760564,
+              0.9111005,
+              1.088898,
+              1.3060063,
+              1.5641414,
+              1.868752,
+              2.2495972,
+              2.6908002,
+              3.2243046,
+              3.8634496,
+              4.6241544
+            ],
+            [
+              0.0396121,
+              0.0951439,
+              0.1050226,
+              0.117447,
+              0.1323661,
+              0.1505292,
+              0.1725469,
+              0.1993245,
+              0.2320208,
+              0.2714723,
+              0.3200364,
+              0.3785309,
+              0.4494579,
+              0.5338477,
+              0.6380761,
+              0.7628241,
+              0.9127321,
+              1.0883618,
+              1.3068605,
+              1.5607856,
+              1.8681505,
+              2.2492412,
+              2.6915694,
+              3.2284448,
+              3.8647747,
+              4.6283573
+            ],
+            [
+              0.0447217,
+              0.102559,
+              0.1118818,
+              0.1237714,
+              0.1382461,
+              0.1563918,
+              0.1778608,
+              0.2040666,
+              0.2362809,
+              0.2754407,
+              0.3228635,
+              0.3802447,
+              0.4508259,
+              0.534581,
+              0.6388451,
+              0.7632286,
+              0.9128281,
+              1.0880929,
+              1.3053443,
+              1.5642398,
+              1.8701971,
+              2.2389357,
+              2.6931448,
+              3.2231233,
+              3.8664636,
+              4.6353197
+            ],
+            [
+              0.0582826,
+              0.1226008,
+              0.1330246,
+              0.1439498,
+              0.1563713,
+              0.1722746,
+              0.1930983,
+              0.2184105,
+              0.2492161,
+              0.2864469,
+              0.3327223,
+              0.3888451,
+              0.4570627,
+              0.5405582,
+              0.6421134,
+              0.7646361,
+              0.9136208,
+              1.0919815,
+              1.3045977,
+              1.5612099,
+              1.8683619,
+              2.2470188,
+              2.6916376,
+              3.2245138,
+              3.8605363,
+              4.6247122
+            ],
+            [
+              0.0705477,
+              0.1352495,
+              0.1481481,
+              0.160331,
+              0.1761415,
+              0.1919729,
+              0.2104003,
+              0.2358931,
+              0.2674076,
+              0.3039327,
+              0.3492124,
+              0.4042975,
+              0.4712146,
+              0.5517446,
+              0.6501494,
+              0.7708831,
+              0.9166234,
+              1.0941769,
+              1.307483,
+              1.5672729,
+              1.8773272,
+              2.2464073,
+              2.6912861,
+              3.2235854,
+              3.8571222,
+              4.625174
+            ],
+            [
+              0.1010348,
+              0.1728246,
+              0.184046,
+              0.1986135,
+              0.2154799,
+              0.2338393,
+              0.2565185,
+              0.2827585,
+              0.312727,
+              0.3503297,
+              0.3960557,
+              0.45249,
+              0.521127,
+              0.6014049,
+              0.7006986,
+              0.8168728,
+              0.9571494,
+              1.1265245,
+              1.3327776,
+              1.5810436,
+              1.8839907,
+              2.2479567,
+              2.6943274,
+              3.2220349,
+              3.8565275,
+              4.6305688
+            ],
+            [
+              0.1111218,
+              0.1909829,
+              0.2034004,
+              0.2175774,
+              0.2351103,
+              0.25288,
+              0.2749845,
+              0.302323,
+              0.3339439,
+              0.371656,
+              0.4170975,
+              0.4750416,
+              0.5443933,
+              0.6255668,
+              0.7262626,
+              0.8445529,
+              0.9855553,
+              1.1535265,
+              1.3559353,
+              1.6018394,
+              1.9023876,
+              2.2634203,
+              2.6969385,
+              3.2301666,
+              3.8630401,
+              4.6360387
+            ],
+            [
+              0.1279559,
+              0.2050252,
+              0.2203867,
+              0.2342925,
+              0.2512406,
+              0.2705831,
+              0.294703,
+              0.3222518,
+              0.3538869,
+              0.391072,
+              0.4377771,
+              0.4967801,
+              0.5648406,
+              0.6506245,
+              0.7521322,
+              0.8730121,
+              1.0145997,
+              1.1820414,
+              1.3841506,
+              1.6259195,
+              1.922231,
+              2.2825217,
+              2.709025,
+              3.2378957,
+              3.8695736,
+              4.6354232
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_transition delay_template11x26": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00888,
+            0.01065,
+            0.01278,
+            0.01534,
+            0.01841,
+            0.02209,
+            0.02651,
+            0.03181,
+            0.03817,
+            0.0458,
+            0.05496,
+            0.06595,
+            0.07914,
+            0.09497,
+            0.11396,
+            0.13675,
+            0.1641,
+            0.19692,
+            0.2363,
+            0.28356,
+            0.34027,
+            0.40832,
+            0.48998,
+            0.58798,
+            0.70557
+          ],
+          "values": [
+            [
+              0.0234172,
+              0.0738385,
+              0.0842441,
+              0.0970005,
+              0.1121964,
+              0.1311114,
+              0.1536886,
+              0.1815098,
+              0.2143784,
+              0.2545118,
+              0.3027898,
+              0.360617,
+              0.4297111,
+              0.5125679,
+              0.6132348,
+              0.7331444,
+              0.875253,
+              1.047617,
+              1.2535897,
+              1.5043158,
+              1.7997562,
+              2.1583317,
+              2.5876392,
+              3.0975928,
+              3.7198387,
+              4.4588315
+            ],
+            [
+              0.0234949,
+              0.0738198,
+              0.0842178,
+              0.0972225,
+              0.112329,
+              0.1312359,
+              0.1541728,
+              0.1813799,
+              0.2141614,
+              0.2547692,
+              0.3023249,
+              0.3604412,
+              0.4300181,
+              0.5133843,
+              0.6134465,
+              0.7327846,
+              0.8773436,
+              1.0492138,
+              1.2562447,
+              1.5025066,
+              1.8007111,
+              2.1585054,
+              2.5876916,
+              3.1008007,
+              3.7183707,
+              4.4584794
+            ],
+            [
+              0.0235086,
+              0.0739071,
+              0.0842107,
+              0.0970172,
+              0.1124484,
+              0.1311402,
+              0.1538727,
+              0.1811518,
+              0.2147469,
+              0.2542175,
+              0.3024122,
+              0.3595342,
+              0.4297881,
+              0.5127104,
+              0.6130471,
+              0.7322314,
+              0.8755942,
+              1.0471196,
+              1.2558812,
+              1.5020577,
+              1.803495,
+              2.1604667,
+              2.5855555,
+              3.1030158,
+              3.7193191,
+              4.4611346
+            ],
+            [
+              0.023565,
+              0.0739971,
+              0.0844834,
+              0.0971268,
+              0.1126785,
+              0.1312603,
+              0.1536844,
+              0.1810915,
+              0.2142922,
+              0.254766,
+              0.3028056,
+              0.3604776,
+              0.4298164,
+              0.5126684,
+              0.6127792,
+              0.732543,
+              0.8765622,
+              1.0477866,
+              1.2552018,
+              1.5049129,
+              1.8001545,
+              2.1565099,
+              2.593417,
+              3.1007739,
+              3.7133783,
+              4.4579083
+            ],
+            [
+              0.0319337,
+              0.0795321,
+              0.089804,
+              0.1015206,
+              0.1161281,
+              0.1342823,
+              0.1560604,
+              0.1835662,
+              0.2157652,
+              0.2555415,
+              0.3026766,
+              0.3598829,
+              0.4298075,
+              0.5131986,
+              0.6118286,
+              0.7323705,
+              0.8756657,
+              1.0486638,
+              1.2552214,
+              1.505436,
+              1.799271,
+              2.1562754,
+              2.5921894,
+              3.1002121,
+              3.7313228,
+              4.4559432
+            ],
+            [
+              0.0426316,
+              0.0904227,
+              0.0998049,
+              0.1111056,
+              0.1250652,
+              0.142375,
+              0.1634532,
+              0.1888862,
+              0.2207886,
+              0.2594538,
+              0.3060007,
+              0.3621649,
+              0.4309285,
+              0.5138753,
+              0.6134036,
+              0.7318726,
+              0.8759358,
+              1.0478084,
+              1.2550845,
+              1.5020366,
+              1.7997411,
+              2.1574009,
+              2.5874018,
+              3.1001091,
+              3.7161481,
+              4.4576964
+            ],
+            [
+              0.0594041,
+              0.1101669,
+              0.1188176,
+              0.1298999,
+              0.1428804,
+              0.1594326,
+              0.179498,
+              0.2048231,
+              0.2358187,
+              0.2727857,
+              0.3186982,
+              0.3745132,
+              0.4420927,
+              0.5227755,
+              0.6220359,
+              0.739751,
+              0.8804846,
+              1.051766,
+              1.254755,
+              1.5016473,
+              1.8006495,
+              2.1569408,
+              2.5886098,
+              3.1009835,
+              3.7176107,
+              4.4627342
+            ],
+            [
+              0.0737668,
+              0.1276988,
+              0.1362345,
+              0.1479239,
+              0.1602716,
+              0.1755101,
+              0.19538,
+              0.2195385,
+              0.2484632,
+              0.2851143,
+              0.3306007,
+              0.3860591,
+              0.4543772,
+              0.5358303,
+              0.6336148,
+              0.7514218,
+              0.8925297,
+              1.0671671,
+              1.2654986,
+              1.5102253,
+              1.8059244,
+              2.1581139,
+              2.5869178,
+              3.1007497,
+              3.7177892,
+              4.4573047
+            ],
+            [
+              0.1072981,
+              0.1701247,
+              0.1789036,
+              0.1903323,
+              0.2020703,
+              0.2168838,
+              0.2352721,
+              0.2582461,
+              0.2863487,
+              0.3209683,
+              0.3646751,
+              0.4182335,
+              0.4840161,
+              0.5649822,
+              0.6645841,
+              0.7843358,
+              0.9262337,
+              1.0996046,
+              1.3023658,
+              1.546053,
+              1.8376877,
+              2.1909137,
+              2.6073068,
+              3.1132936,
+              3.7376102,
+              4.4649044
+            ],
+            [
+              0.1258004,
+              0.1904323,
+              0.1997278,
+              0.2099968,
+              0.2226413,
+              0.2373306,
+              0.2569042,
+              0.2787309,
+              0.3038903,
+              0.3387413,
+              0.3805927,
+              0.4333529,
+              0.4987977,
+              0.5792448,
+              0.677025,
+              0.7983245,
+              0.9423284,
+              1.1157774,
+              1.3203277,
+              1.5670351,
+              1.8645414,
+              2.2079183,
+              2.6354259,
+              3.1347234,
+              3.7436645,
+              4.4691406
+            ],
+            [
+              0.1380287,
+              0.2090166,
+              0.2189881,
+              0.2305473,
+              0.2441498,
+              0.2584239,
+              0.2756335,
+              0.2991792,
+              0.3254569,
+              0.3572883,
+              0.3959061,
+              0.4479544,
+              0.5119922,
+              0.5932254,
+              0.692347,
+              0.8106955,
+              0.9545882,
+              1.1308573,
+              1.3377398,
+              1.5863262,
+              1.880563,
+              2.2309592,
+              2.6484894,
+              3.1598599,
+              3.7584158,
+              4.4847974
+            ]
+          ]
+        },
+        "sdf_cond": "(A1&!A2)",
+        "timing_sense": "positive_unate",
+        "when": "(A1&!A2)"
+      }
+    ]
+  }
+}
\ No newline at end of file
diff --git a/cells/a21o/sky130_fd_sc_hvl__a21o_1__ff_100C_5v50.lib.json b/cells/a21o/sky130_fd_sc_hvl__a21o_1__ff_100C_5v50.lib.json
new file mode 100644
index 0000000..4fc08f1
--- /dev/null
+++ b/cells/a21o/sky130_fd_sc_hvl__a21o_1__ff_100C_5v50.lib.json
@@ -0,0 +1,4421 @@
+{
+  "area": 17.5824,
+  "cell_footprint": "a21o",
+  "cell_leakage_power": 4.15865,
+  "driver_waveform_fall": "ramp",
+  "driver_waveform_rise": "ramp",
+  "leakage_power": [
+    {
+      "value": 3.3824283,
+      "when": "A1&A2&!B1"
+    },
+    {
+      "value": 3.2462848,
+      "when": "!A1&!A2&B1"
+    },
+    {
+      "value": 4.7138311,
+      "when": "!A1&!A2&!B1"
+    },
+    {
+      "value": 3.2462792,
+      "when": "!A1&A2&B1"
+    },
+    {
+      "value": 6.9197892,
+      "when": "!A1&A2&!B1"
+    },
+    {
+      "value": 3.2462781,
+      "when": "A1&!A2&B1"
+    },
+    {
+      "value": 6.0289782,
+      "when": "A1&!A2&!B1"
+    },
+    {
+      "value": 2.4853284,
+      "when": "A1&A2&B1"
+    }
+  ],
+  "pg_pin VGND": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VGND"
+  },
+  "pg_pin VNB": {
+    "pg_type": "pwell",
+    "voltage_name": "VNB"
+  },
+  "pg_pin VPB": {
+    "pg_type": "nwell",
+    "voltage_name": "VPB"
+  },
+  "pg_pin VPWR": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPWR"
+  },
+  "pin A1": {
+    "capacitance": 0.0047,
+    "clock": "false",
+    "direction": "input",
+    "internal_power": {
+      "fall_power hidden_pwr_template11": {
+        "index_1": [
+          0.001,
+          0.013,
+          0.026,
+          0.072,
+          0.216,
+          0.432,
+          0.864,
+          1.296,
+          2.5,
+          3.125,
+          3.7499999
+        ],
+        "values": [
+          0.0798689,
+          0.0798442,
+          0.079818,
+          0.0798494,
+          0.0799628,
+          0.0800209,
+          0.0801442,
+          0.0799996,
+          0.0796093,
+          0.0794033,
+          0.0791972
+        ]
+      },
+      "rise_power hidden_pwr_template11": {
+        "index_1": [
+          0.001,
+          0.013,
+          0.026,
+          0.072,
+          0.216,
+          0.432,
+          0.864,
+          1.296,
+          2.5,
+          3.125,
+          3.7499999
+        ],
+        "values": [
+          -0.0739809,
+          -0.0740364,
+          -0.074096,
+          -0.0739952,
+          -0.0736652,
+          -0.0735566,
+          -0.0733322,
+          -0.0732315,
+          -0.0729388,
+          -0.0727901,
+          -0.0726414
+        ]
+      }
+    },
+    "max_transition": 3.75,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR"
+  },
+  "pin A2": {
+    "capacitance": 0.00449,
+    "clock": "false",
+    "direction": "input",
+    "internal_power": {
+      "fall_power hidden_pwr_template11": {
+        "index_1": [
+          0.001,
+          0.013,
+          0.026,
+          0.072,
+          0.216,
+          0.432,
+          0.864,
+          1.296,
+          2.5,
+          3.125,
+          3.7499999
+        ],
+        "values": [
+          0.0762401,
+          0.075853,
+          0.0754342,
+          0.0748004,
+          0.0728329,
+          0.0730141,
+          0.0733841,
+          0.0733565,
+          0.0732934,
+          0.073257,
+          0.0732205
+        ]
+      },
+      "rise_power hidden_pwr_template11": {
+        "index_1": [
+          0.001,
+          0.013,
+          0.026,
+          0.072,
+          0.216,
+          0.432,
+          0.864,
+          1.296,
+          2.5,
+          3.125,
+          3.7499999
+        ],
+        "values": [
+          -0.0719568,
+          -0.0720095,
+          -0.0720661,
+          -0.0720641,
+          -0.0720432,
+          -0.0720563,
+          -0.0720755,
+          -0.0720107,
+          -0.0718179,
+          -0.0717212,
+          -0.0716244
+        ]
+      }
+    },
+    "max_transition": 3.75,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR"
+  },
+  "pin B1": {
+    "capacitance": 0.00484,
+    "clock": "false",
+    "direction": "input",
+    "internal_power": {
+      "fall_power hidden_pwr_template11": {
+        "index_1": [
+          0.001,
+          0.013,
+          0.026,
+          0.072,
+          0.216,
+          0.432,
+          0.864,
+          1.296,
+          2.5,
+          3.125,
+          3.7499999
+        ],
+        "values": [
+          0.0444336,
+          0.0444068,
+          0.0443784,
+          0.0444355,
+          0.0446237,
+          0.0449018,
+          0.0454624,
+          0.0455279,
+          0.0457185,
+          0.0458152,
+          0.045912
+        ]
+      },
+      "rise_power hidden_pwr_template11": {
+        "index_1": [
+          0.001,
+          0.013,
+          0.026,
+          0.072,
+          0.216,
+          0.432,
+          0.864,
+          1.296,
+          2.5,
+          3.125,
+          3.7499999
+        ],
+        "values": [
+          -0.0176585,
+          -0.0175626,
+          -0.017458,
+          -0.0175273,
+          -0.017731,
+          -0.0177182,
+          -0.0176862,
+          -0.0176286,
+          -0.0174569,
+          -0.0173707,
+          -0.0172846
+        ]
+      }
+    },
+    "max_transition": 3.75,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR"
+  },
+  "pin X": {
+    "direction": "output",
+    "function": "(A1&A2) | (B1)",
+    "internal_power": [
+      {
+        "fall_power pwr_template11x8": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00858,
+            0.01716,
+            0.03431,
+            0.06861,
+            0.13723,
+            0.27446,
+            0.54891
+          ],
+          "values": [
+            [
+              0.245122,
+              0.1080051,
+              -0.0241268,
+              -0.2857058,
+              -0.8065747,
+              -1.8470964,
+              -3.9231199,
+              -8.0738257
+            ],
+            [
+              0.2456093,
+              0.1075629,
+              -0.023558,
+              -0.2855893,
+              -0.806172,
+              -1.8455762,
+              -3.9223258,
+              -8.0744134
+            ],
+            [
+              0.2435492,
+              0.1055317,
+              -0.0256874,
+              -0.2877019,
+              -0.8085353,
+              -1.8477111,
+              -3.9248927,
+              -8.0759649
+            ],
+            [
+              0.2424194,
+              0.1042838,
+              -0.027831,
+              -0.2897978,
+              -0.8109993,
+              -1.850817,
+              -3.9269846,
+              -8.0784051
+            ],
+            [
+              0.3012204,
+              0.1553583,
+              0.0199171,
+              -0.2455201,
+              -0.7685769,
+              -1.8095265,
+              -3.8869933,
+              -8.0389585
+            ],
+            [
+              0.4318569,
+              0.2785374,
+              0.1376873,
+              -0.1348222,
+              -0.6645858,
+              -1.7099044,
+              -3.7904047,
+              -7.9442755
+            ],
+            [
+              0.7312559,
+              0.5517685,
+              0.3961976,
+              0.1159746,
+              -0.4259924,
+              -1.4821021,
+              -3.5707285,
+              -7.7301132
+            ],
+            [
+              1.0181759,
+              0.8326323,
+              0.6707594,
+              0.3744714,
+              -0.1761536,
+              -1.2420922,
+              -3.33981,
+              -7.504632
+            ],
+            [
+              1.811431,
+              1.6151698,
+              1.4434074,
+              1.1266398,
+              0.5415723,
+              -0.5505224,
+              -2.6746248,
+              -6.8613163
+            ],
+            [
+              2.2201054,
+              2.0228495,
+              1.8476054,
+              1.522285,
+              0.9258773,
+              -0.1835778,
+              -2.3211764,
+              -6.5194797
+            ],
+            [
+              2.6273703,
+              2.4276661,
+              2.2486814,
+              1.919218,
+              1.3123966,
+              0.1879876,
+              -1.9638563,
+              -6.1745301
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_power pwr_template11x8": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00858,
+            0.01716,
+            0.03431,
+            0.06861,
+            0.13723,
+            0.27446,
+            0.54891
+          ],
+          "values": [
+            [
+              0.2522515,
+              0.3599624,
+              0.4823444,
+              0.7327539,
+              1.2447442,
+              2.2688132,
+              4.3283969,
+              8.4272952
+            ],
+            [
+              0.2509864,
+              0.3586123,
+              0.4814153,
+              0.7331717,
+              1.2408124,
+              2.2678263,
+              4.3225011,
+              8.4312894
+            ],
+            [
+              0.2482321,
+              0.3565081,
+              0.4787193,
+              0.7308452,
+              1.2394631,
+              2.2676694,
+              4.3163294,
+              8.4290417
+            ],
+            [
+              0.2508181,
+              0.3589191,
+              0.4807649,
+              0.7324834,
+              1.2409525,
+              2.269011,
+              4.3175828,
+              8.429141
+            ],
+            [
+              0.3149885,
+              0.4173392,
+              0.5352193,
+              0.7810042,
+              1.2875551,
+              2.309812,
+              4.3595612,
+              8.4710342
+            ],
+            [
+              0.4492999,
+              0.5433391,
+              0.6551037,
+              0.8924616,
+              1.393355,
+              2.4082806,
+              4.4546263,
+              8.5577217
+            ],
+            [
+              0.7451462,
+              0.8262816,
+              0.9251369,
+              1.1527003,
+              1.6367216,
+              2.6357958,
+              4.6696896,
+              8.7688049
+            ],
+            [
+              1.0386896,
+              1.1132116,
+              1.2096702,
+              1.422263,
+              1.8959545,
+              2.8812284,
+              4.9028863,
+              8.9989136
+            ],
+            [
+              1.8554762,
+              1.9202622,
+              2.0063633,
+              2.2075125,
+              2.647246,
+              3.6000879,
+              5.5874235,
+              9.643992
+            ],
+            [
+              2.2757036,
+              2.3381721,
+              2.4197283,
+              2.6133508,
+              3.0517211,
+              3.9797274,
+              5.9552718,
+              9.9910807
+            ],
+            [
+              2.6935103,
+              2.7515995,
+              2.8323079,
+              3.0181916,
+              3.4456684,
+              4.3577427,
+              6.3190442,
+              10.3401806
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power pwr_template11x8": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00858,
+            0.01716,
+            0.03431,
+            0.06861,
+            0.13723,
+            0.27446,
+            0.54891
+          ],
+          "values": [
+            [
+              0.2877713,
+              0.1465577,
+              0.0121242,
+              -0.2513854,
+              -0.773301,
+              -1.8130095,
+              -3.8899431,
+              -8.0418878
+            ],
+            [
+              0.2917862,
+              0.1492748,
+              0.0158286,
+              -0.247135,
+              -0.7697679,
+              -1.8099565,
+              -3.886774,
+              -8.0386707
+            ],
+            [
+              0.2903474,
+              0.1479552,
+              0.0145312,
+              -0.2483947,
+              -0.7710414,
+              -1.811396,
+              -3.8878215,
+              -8.0396258
+            ],
+            [
+              0.2906688,
+              0.1483275,
+              0.0146435,
+              -0.2487167,
+              -0.7711572,
+              -1.810746,
+              -3.8877897,
+              -8.039457
+            ],
+            [
+              0.3558957,
+              0.2068392,
+              0.0698495,
+              -0.1970793,
+              -0.721732,
+              -1.7639839,
+              -3.8416172,
+              -7.9941574
+            ],
+            [
+              0.5001884,
+              0.3460785,
+              0.2025076,
+              -0.0712624,
+              -0.6036654,
+              -1.6509634,
+              -3.7323898,
+              -7.8871387
+            ],
+            [
+              0.8377324,
+              0.6556244,
+              0.4986759,
+              0.2155138,
+              -0.3314476,
+              -1.3918511,
+              -3.4838919,
+              -7.6445396
+            ],
+            [
+              1.1637281,
+              0.9767091,
+              0.8119159,
+              0.5137436,
+              -0.0439828,
+              -1.1187595,
+              -3.2216257,
+              -7.3909551
+            ],
+            [
+              2.0661366,
+              1.86915,
+              1.6970037,
+              1.3725866,
+              0.7782401,
+              -0.3256809,
+              -2.463528,
+              -6.6606873
+            ],
+            [
+              2.5352517,
+              2.3349069,
+              2.1574126,
+              1.8267555,
+              1.2176936,
+              0.0941917,
+              -2.058717,
+              -6.272104
+            ],
+            [
+              2.9999257,
+              2.7960163,
+              2.6141696,
+              2.2807594,
+              1.6590859,
+              0.5191633,
+              -1.6526184,
+              -5.881501
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_power pwr_template11x8": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00858,
+            0.01716,
+            0.03431,
+            0.06861,
+            0.13723,
+            0.27446,
+            0.54891
+          ],
+          "values": [
+            [
+              0.2470166,
+              0.3547994,
+              0.4774255,
+              0.7276225,
+              1.238442,
+              2.2621644,
+              4.3206363,
+              8.4269117
+            ],
+            [
+              0.246678,
+              0.3548475,
+              0.4777366,
+              0.7293621,
+              1.239567,
+              2.2624169,
+              4.3177531,
+              8.422181
+            ],
+            [
+              0.2442374,
+              0.3521298,
+              0.4748576,
+              0.7252532,
+              1.2371188,
+              2.26093,
+              4.3172622,
+              8.4230319
+            ],
+            [
+              0.2452406,
+              0.3529808,
+              0.475128,
+              0.7250485,
+              1.2341033,
+              2.2598572,
+              4.3139927,
+              8.4197684
+            ],
+            [
+              0.3091396,
+              0.4108236,
+              0.5296117,
+              0.7755372,
+              1.285046,
+              2.3052894,
+              4.3587272,
+              8.4612493
+            ],
+            [
+              0.4562233,
+              0.5484113,
+              0.6617764,
+              0.9019327,
+              1.3985164,
+              2.4139928,
+              4.4592789,
+              8.5623712
+            ],
+            [
+              0.7839635,
+              0.8647678,
+              0.9639237,
+              1.187526,
+              1.67126,
+              2.6693206,
+              4.7072619,
+              8.7994625
+            ],
+            [
+              1.1100397,
+              1.1849615,
+              1.2795191,
+              1.4919393,
+              1.962146,
+              2.9457854,
+              4.9717062,
+              9.0555922
+            ],
+            [
+              2.0201923,
+              2.0879271,
+              2.1762456,
+              2.3713731,
+              2.8161359,
+              3.755775,
+              5.7358377,
+              9.7891219
+            ],
+            [
+              2.4970174,
+              2.5620611,
+              2.6432134,
+              2.8304685,
+              3.2565674,
+              4.1761654,
+              6.1455812,
+              10.1776501
+            ],
+            [
+              2.9693206,
+              3.0311854,
+              3.1104715,
+              3.2969298,
+              3.7116781,
+              4.6138501,
+              6.5600827,
+              10.5723681
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power pwr_template11x8": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00858,
+            0.01716,
+            0.03431,
+            0.06861,
+            0.13723,
+            0.27446,
+            0.54891
+          ],
+          "values": [
+            [
+              0.1930595,
+              0.0622235,
+              -0.06808,
+              -0.3280786,
+              -0.8473021,
+              -1.8852224,
+              -3.9612463,
+              -8.1123895
+            ],
+            [
+              0.1992474,
+              0.0670484,
+              -0.0625062,
+              -0.3224465,
+              -0.8425596,
+              -1.8804866,
+              -3.9564244,
+              -8.1074301
+            ],
+            [
+              0.1980657,
+              0.0657672,
+              -0.0642165,
+              -0.3247305,
+              -0.8441549,
+              -1.8817972,
+              -3.95807,
+              -8.1087504
+            ],
+            [
+              0.2116366,
+              0.0774864,
+              -0.0530224,
+              -0.3132436,
+              -0.8324355,
+              -1.8706367,
+              -3.9464852,
+              -8.0977523
+            ],
+            [
+              0.2981968,
+              0.1552211,
+              0.0208526,
+              -0.2425889,
+              -0.764096,
+              -1.8033515,
+              -3.8803797,
+              -8.0318592
+            ],
+            [
+              0.4383611,
+              0.2862242,
+              0.1476963,
+              -0.1207407,
+              -0.6460608,
+              -1.6884187,
+              -3.7665258,
+              -7.9189232
+            ],
+            [
+              0.7379362,
+              0.5620464,
+              0.4113873,
+              0.1380884,
+              -0.3958185,
+              -1.4451187,
+              -3.5283172,
+              -7.6830086
+            ],
+            [
+              1.0337998,
+              0.8512699,
+              0.6933683,
+              0.4036163,
+              -0.1370451,
+              -1.1934913,
+              -3.2816922,
+              -7.4404814
+            ],
+            [
+              1.8459931,
+              1.6505736,
+              1.482801,
+              1.1723623,
+              0.5972296,
+              -0.480345,
+              -2.5856969,
+              -6.7559653
+            ],
+            [
+              2.2655719,
+              2.0672449,
+              1.8954756,
+              1.5775646,
+              0.9912113,
+              -0.1043689,
+              -2.2177636,
+              -6.3957831
+            ],
+            [
+              2.6858928,
+              2.4852007,
+              2.3095261,
+              1.9854102,
+              1.3889806,
+              0.2778971,
+              -1.8504564,
+              -6.035204
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power pwr_template11x8": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00858,
+            0.01716,
+            0.03431,
+            0.06861,
+            0.13723,
+            0.27446,
+            0.54891
+          ],
+          "values": [
+            [
+              0.1095705,
+              0.2344117,
+              0.3620213,
+              0.6191203,
+              1.1299752,
+              2.1571053,
+              4.2162987,
+              8.318265
+            ],
+            [
+              0.1191928,
+              0.2432975,
+              0.3699526,
+              0.6258026,
+              1.1405087,
+              2.1676278,
+              4.2253451,
+              8.3254141
+            ],
+            [
+              0.1189824,
+              0.2432941,
+              0.3702282,
+              0.6267795,
+              1.1365933,
+              2.1682109,
+              4.219747,
+              8.3259782
+            ],
+            [
+              0.1333354,
+              0.2544947,
+              0.3804809,
+              0.6378057,
+              1.1496325,
+              2.1764077,
+              4.2291315,
+              8.3353176
+            ],
+            [
+              0.2202551,
+              0.3292422,
+              0.4498562,
+              0.7011065,
+              1.2090124,
+              2.2386419,
+              4.2857393,
+              8.3998085
+            ],
+            [
+              0.3727001,
+              0.468438,
+              0.5813915,
+              0.8270393,
+              1.3304187,
+              2.3543905,
+              4.4020396,
+              8.5077321
+            ],
+            [
+              0.6797787,
+              0.7640084,
+              0.8700366,
+              1.0996999,
+              1.5943604,
+              2.600827,
+              4.6489883,
+              8.7497494
+            ],
+            [
+              0.98403,
+              1.0598939,
+              1.1623868,
+              1.386499,
+              1.8639735,
+              2.8647251,
+              4.9010235,
+              8.9977339
+            ],
+            [
+              1.8250679,
+              1.8907071,
+              1.9821908,
+              2.1904851,
+              2.6481401,
+              3.6139625,
+              5.6223559,
+              9.7020929
+            ],
+            [
+              2.2553495,
+              2.3203886,
+              2.4091902,
+              2.6082562,
+              3.0576866,
+              4.0072617,
+              6.003458,
+              10.0651939
+            ],
+            [
+              2.687534,
+              2.7514096,
+              2.8318751,
+              3.029175,
+              3.4703397,
+              4.4061657,
+              6.3909036,
+              10.4419064
+            ]
+          ]
+        },
+        "when": "(!A1&!A2)"
+      },
+      {
+        "fall_power pwr_template11x8": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00858,
+            0.01716,
+            0.03431,
+            0.06861,
+            0.13723,
+            0.27446,
+            0.54891
+          ],
+          "values": [
+            [
+              0.2066726,
+              0.0696987,
+              -0.0624217,
+              -0.3233234,
+              -0.844668,
+              -1.8841175,
+              -3.9608132,
+              -8.1122873
+            ],
+            [
+              0.2122165,
+              0.0748176,
+              -0.0574323,
+              -0.3191305,
+              -0.8396424,
+              -1.8791702,
+              -3.9559331,
+              -8.1076036
+            ],
+            [
+              0.2108605,
+              0.072839,
+              -0.0585933,
+              -0.320142,
+              -0.8418166,
+              -1.881044,
+              -3.9575428,
+              -8.109126
+            ],
+            [
+              0.2209907,
+              0.081946,
+              -0.0505736,
+              -0.3123714,
+              -0.8333305,
+              -1.8726381,
+              -3.9493969,
+              -8.1006528
+            ],
+            [
+              0.2970632,
+              0.1518333,
+              0.0172239,
+              -0.2479813,
+              -0.7709397,
+              -1.8114497,
+              -3.8886673,
+              -8.0404862
+            ],
+            [
+              0.4188848,
+              0.2707987,
+              0.1320296,
+              -0.1376064,
+              -0.6644604,
+              -1.7078436,
+              -3.7866591,
+              -7.9390834
+            ],
+            [
+              0.7008496,
+              0.5234712,
+              0.3754539,
+              0.0993672,
+              -0.4361892,
+              -1.4868295,
+              -3.5709054,
+              -7.726356
+            ],
+            [
+              0.9705068,
+              0.7860214,
+              0.6269229,
+              0.3415078,
+              -0.2016984,
+              -1.2592074,
+              -3.3485088,
+              -7.507998
+            ],
+            [
+              1.7181477,
+              1.522693,
+              1.3537692,
+              1.0412695,
+              0.468858,
+              -0.6095925,
+              -2.7164207,
+              -6.8866177
+            ],
+            [
+              2.1037368,
+              1.9031099,
+              1.7304401,
+              1.4121065,
+              0.8247765,
+              -0.2674543,
+              -2.3833739,
+              -6.5617183
+            ],
+            [
+              2.4877345,
+              2.2853386,
+              2.1086733,
+              1.7842731,
+              1.1863842,
+              0.078742,
+              -2.0466747,
+              -6.2330944
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power pwr_template11x8": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00858,
+            0.01716,
+            0.03431,
+            0.06861,
+            0.13723,
+            0.27446,
+            0.54891
+          ],
+          "values": [
+            [
+              0.108785,
+              0.234111,
+              0.3599877,
+              0.6166187,
+              1.1293969,
+              2.1585933,
+              4.2121798,
+              8.3242346
+            ],
+            [
+              0.1207439,
+              0.2446754,
+              0.3713825,
+              0.6291287,
+              1.1430836,
+              2.1719847,
+              4.2221326,
+              8.3344229
+            ],
+            [
+              0.1215845,
+              0.2457364,
+              0.3725636,
+              0.6294066,
+              1.144843,
+              2.1688614,
+              4.2192534,
+              8.3282254
+            ],
+            [
+              0.1355339,
+              0.2568178,
+              0.3830548,
+              0.6401423,
+              1.1541467,
+              2.182891,
+              4.2309145,
+              8.3442743
+            ],
+            [
+              0.2145086,
+              0.323277,
+              0.4442998,
+              0.694734,
+              1.2057587,
+              2.2330798,
+              4.2834706,
+              8.3942204
+            ],
+            [
+              0.3552343,
+              0.4516243,
+              0.5639894,
+              0.8106046,
+              1.311496,
+              2.3313058,
+              4.3867421,
+              8.4862196
+            ],
+            [
+              0.6394157,
+              0.7234261,
+              0.8297882,
+              1.0571809,
+              1.5528692,
+              2.5579249,
+              4.6064079,
+              8.7016995
+            ],
+            [
+              0.9210029,
+              0.9970148,
+              1.097212,
+              1.319812,
+              1.7990134,
+              2.8010046,
+              4.8279247,
+              8.9281477
+            ],
+            [
+              1.6992425,
+              1.7643351,
+              1.8536879,
+              2.0609628,
+              2.5189619,
+              3.4805875,
+              5.4942266,
+              9.5662027
+            ],
+            [
+              2.1015638,
+              2.163586,
+              2.2470603,
+              2.4487355,
+              2.9000286,
+              3.8444918,
+              5.8416642,
+              9.9021021
+            ],
+            [
+              2.5012493,
+              2.5585104,
+              2.6445505,
+              2.8368249,
+              3.2787175,
+              4.2163286,
+              6.1976857,
+              10.241657
+            ]
+          ]
+        },
+        "when": "(!A1&A2)"
+      },
+      {
+        "fall_power pwr_template11x8": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00858,
+            0.01716,
+            0.03431,
+            0.06861,
+            0.13723,
+            0.27446,
+            0.54891
+          ],
+          "values": [
+            [
+              0.2586988,
+              0.1174786,
+              -0.0161144,
+              -0.2788658,
+              -0.8013634,
+              -1.8417653,
+              -3.91826,
+              -8.070039
+            ],
+            [
+              0.2626091,
+              0.1204263,
+              -0.013318,
+              -0.2760761,
+              -0.7985951,
+              -1.8390038,
+              -3.9156264,
+              -8.067313
+            ],
+            [
+              0.2597261,
+              0.118029,
+              -0.0151803,
+              -0.2786302,
+              -0.8012739,
+              -1.8408391,
+              -3.9177495,
+              -8.0691199
+            ],
+            [
+              0.2676145,
+              0.1256496,
+              -0.0077519,
+              -0.2708422,
+              -0.7934861,
+              -1.8335772,
+              -3.9104763,
+              -8.0619434
+            ],
+            [
+              0.3381582,
+              0.1897304,
+              0.0536622,
+              -0.2124217,
+              -0.7366051,
+              -1.7778247,
+              -3.8553269,
+              -8.0074857
+            ],
+            [
+              0.4576231,
+              0.3060074,
+              0.1661257,
+              -0.1044651,
+              -0.632878,
+              -1.6772748,
+              -3.7568098,
+              -7.9099566
+            ],
+            [
+              0.7318703,
+              0.5535996,
+              0.4072072,
+              0.1288781,
+              -0.4085089,
+              -1.4611948,
+              -3.5459729,
+              -7.7021298
+            ],
+            [
+              0.9993538,
+              0.814027,
+              0.6542009,
+              0.3680186,
+              -0.1773593,
+              -1.2371884,
+              -3.327803,
+              -7.4877763
+            ],
+            [
+              1.7391787,
+              1.5429595,
+              1.3715704,
+              1.0587964,
+              0.4855021,
+              -0.5958154,
+              -2.7039033,
+              -6.8769113
+            ],
+            [
+              2.1197626,
+              1.9185023,
+              1.7444792,
+              1.4235807,
+              0.8357247,
+              -0.2586484,
+              -2.3767394,
+              -6.5568682
+            ],
+            [
+              2.499446,
+              2.2956852,
+              2.1182605,
+              1.7914119,
+              1.1927678,
+              0.0825103,
+              -2.045303,
+              -6.2339234
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power pwr_template11x8": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0,
+            0.00858,
+            0.01716,
+            0.03431,
+            0.06861,
+            0.13723,
+            0.27446,
+            0.54891
+          ],
+          "values": [
+            [
+              0.117354,
+              0.2387054,
+              0.3642843,
+              0.6192529,
+              1.1326949,
+              2.1629763,
+              4.2169556,
+              8.3229835
+            ],
+            [
+              0.1276102,
+              0.2478142,
+              0.3737783,
+              0.6281391,
+              1.1434527,
+              2.1692978,
+              4.2225473,
+              8.3305576
+            ],
+            [
+              0.1285776,
+              0.2491357,
+              0.3754432,
+              0.6291027,
+              1.1436677,
+              2.1723048,
+              4.2279041,
+              8.3283002
+            ],
+            [
+              0.1416924,
+              0.2597162,
+              0.3848613,
+              0.6390629,
+              1.1513445,
+              2.1830976,
+              4.2305851,
+              8.3437352
+            ],
+            [
+              0.2216816,
+              0.3285075,
+              0.4484154,
+              0.6982503,
+              1.2059387,
+              2.2317328,
+              4.2886735,
+              8.3932259
+            ],
+            [
+              0.3616144,
+              0.4556917,
+              0.5696904,
+              0.8117716,
+              1.3106069,
+              2.3328846,
+              4.3799716,
+              8.4837828
+            ],
+            [
+              0.643129,
+              0.7275362,
+              0.8340584,
+              1.0579568,
+              1.5514521,
+              2.5567425,
+              4.5981913,
+              8.6972518
+            ],
+            [
+              0.9232835,
+              0.9970919,
+              1.0988543,
+              1.3192342,
+              1.7921596,
+              2.7910682,
+              4.823287,
+              8.9161412
+            ],
+            [
+              1.6938869,
+              1.7577189,
+              1.8469199,
+              2.0558792,
+              2.5094487,
+              3.4732389,
+              5.4764529,
+              9.5437228
+            ],
+            [
+              2.0890789,
+              2.1480703,
+              2.2369001,
+              2.4367788,
+              2.884259,
+              3.8299569,
+              5.8187699,
+              9.8816452
+            ],
+            [
+              2.4828726,
+              2.5447678,
+              2.625422,
+              2.8187687,
+              3.2599637,
+              4.1960376,
+              6.1656867,
+              10.2116152
+            ]
+          ]
+        },
+        "when": "(A1&!A2)"
+      }
+    ],
+    "max_capacitance": 0.54891,
+    "power_down_function": "(!VPWR + VGND)",
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "timing": [
+      {
+        "cell_fall delay_template11x8": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0.0,
+            0.00858,
+            0.01716,
+            0.03431,
+            0.06861,
+            0.13723,
+            0.27446,
+            0.54891
+          ],
+          "values": [
+            [
+              0.12588,
+              0.18607,
+              0.23419,
+              0.32445,
+              0.50102,
+              0.854,
+              1.56137,
+              2.97607
+            ],
+            [
+              0.12864,
+              0.18869,
+              0.23681,
+              0.32706,
+              0.50366,
+              0.8567,
+              1.56397,
+              2.97837
+            ],
+            [
+              0.13012,
+              0.19014,
+              0.23824,
+              0.32848,
+              0.505,
+              0.85816,
+              1.56523,
+              2.97913
+            ],
+            [
+              0.13441,
+              0.19411,
+              0.24211,
+              0.3323,
+              0.50892,
+              0.86179,
+              1.5689,
+              2.983
+            ],
+            [
+              0.15661,
+              0.21679,
+              0.26412,
+              0.35354,
+              0.52927,
+              0.8817,
+              1.5888,
+              3.0028
+            ],
+            [
+              0.18603,
+              0.25078,
+              0.29912,
+              0.38855,
+              0.5628,
+              0.9136,
+              1.6191,
+              3.032
+            ],
+            [
+              0.22526,
+              0.299,
+              0.3528,
+              0.4474,
+              0.6244,
+              0.9723,
+              1.6744,
+              3.086
+            ],
+            [
+              0.2519,
+              0.3319,
+              0.3899,
+              0.4904,
+              0.6755,
+              1.0271,
+              1.7263,
+              3.1354
+            ],
+            [
+              0.29797,
+              0.39017,
+              0.45637,
+              0.56977,
+              0.77277,
+              1.15237,
+              1.86307,
+              3.26367
+            ],
+            [
+              0.31333,
+              0.41023,
+              0.47983,
+              0.59843,
+              0.80953,
+              1.20063,
+              1.93093,
+              3.33003
+            ],
+            [
+              0.3252,
+              0.4264,
+              0.4989,
+              0.6221,
+              0.8405,
+              1.2424,
+              1.9912,
+              3.3956
+            ]
+          ]
+        },
+        "cell_rise delay_template11x8": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0.0,
+            0.00858,
+            0.01716,
+            0.03431,
+            0.06861,
+            0.13723,
+            0.27446,
+            0.54891
+          ],
+          "values": [
+            [
+              0.12349,
+              0.17551,
+              0.21543,
+              0.29023,
+              0.43729,
+              0.73146,
+              1.32037,
+              2.50047
+            ],
+            [
+              0.12348,
+              0.17549,
+              0.21542,
+              0.2902,
+              0.43731,
+              0.73157,
+              1.32047,
+              2.49867
+            ],
+            [
+              0.12345,
+              0.17545,
+              0.21538,
+              0.29017,
+              0.4373,
+              0.73132,
+              1.32063,
+              2.49953
+            ],
+            [
+              0.12894,
+              0.18086,
+              0.22072,
+              0.29552,
+              0.44261,
+              0.73669,
+              1.3257,
+              2.5044
+            ],
+            [
+              0.15873,
+              0.21094,
+              0.25006,
+              0.32386,
+              0.46989,
+              0.76337,
+              1.3515,
+              2.5312
+            ],
+            [
+              0.19156,
+              0.2473,
+              0.28679,
+              0.3603,
+              0.50496,
+              0.7969,
+              1.3844,
+              2.561
+            ],
+            [
+              0.23509,
+              0.2993,
+              0.3414,
+              0.4164,
+              0.5619,
+              0.8515,
+              1.4366,
+              2.6119
+            ],
+            [
+              0.2664,
+              0.338,
+              0.3831,
+              0.4602,
+              0.6085,
+              0.9,
+              1.4823,
+              2.6553
+            ],
+            [
+              0.32927,
+              0.41647,
+              0.46987,
+              0.55377,
+              0.70717,
+              1.01077,
+              1.60087,
+              2.76727
+            ],
+            [
+              0.35493,
+              0.44843,
+              0.50573,
+              0.59353,
+              0.74943,
+              1.05703,
+              1.65683,
+              2.82313
+            ],
+            [
+              0.3779,
+              0.477,
+              0.5379,
+              0.6297,
+              0.7882,
+              1.0989,
+              1.7077,
+              2.8789
+            ]
+          ]
+        },
+        "fall_transition delay_template11x8": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0.0,
+            0.00858,
+            0.01716,
+            0.03431,
+            0.06861,
+            0.13723,
+            0.27446,
+            0.54891
+          ],
+          "values": [
+            [
+              0.03232,
+              0.08756,
+              0.1409,
+              0.25087,
+              0.4773,
+              0.93791,
+              1.86208,
+              3.7099
+            ],
+            [
+              0.03234,
+              0.08757,
+              0.14092,
+              0.25082,
+              0.47737,
+              0.93809,
+              1.86179,
+              3.7093
+            ],
+            [
+              0.03234,
+              0.08758,
+              0.14089,
+              0.25084,
+              0.47726,
+              0.93844,
+              1.86181,
+              3.7085
+            ],
+            [
+              0.03242,
+              0.08775,
+              0.14101,
+              0.25075,
+              0.47759,
+              0.93785,
+              1.862,
+              3.7082
+            ],
+            [
+              0.03773,
+              0.0922,
+              0.14378,
+              0.25206,
+              0.47747,
+              0.93763,
+              1.86174,
+              3.709
+            ],
+            [
+              0.04963,
+              0.10545,
+              0.15458,
+              0.25836,
+              0.47988,
+              0.93782,
+              1.8615,
+              3.7087
+            ],
+            [
+              0.06904,
+              0.13298,
+              0.18305,
+              0.2841,
+              0.4951,
+              0.9417,
+              1.8611,
+              3.708
+            ],
+            [
+              0.0849,
+              0.155,
+              0.2082,
+              0.3112,
+              0.5224,
+              0.9566,
+              1.8636,
+              3.709
+            ],
+            [
+              0.1215,
+              0.2038,
+              0.2648,
+              0.3766,
+              0.5972,
+              1.0335,
+              1.9044,
+              3.7118
+            ],
+            [
+              0.1383,
+              0.2255,
+              0.2897,
+              0.4063,
+              0.6318,
+              1.0776,
+              1.9392,
+              3.7222
+            ],
+            [
+              0.154,
+              0.2457,
+              0.3127,
+              0.434,
+              0.6655,
+              1.1175,
+              1.9836,
+              3.7443
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_transition delay_template11x8": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0.0,
+            0.00858,
+            0.01716,
+            0.03431,
+            0.06861,
+            0.13723,
+            0.27446,
+            0.54891
+          ],
+          "values": [
+            [
+              0.03553,
+              0.08568,
+              0.13556,
+              0.24025,
+              0.45668,
+              0.89652,
+              1.77708,
+              3.5401
+            ],
+            [
+              0.03554,
+              0.08569,
+              0.13552,
+              0.24015,
+              0.45673,
+              0.89666,
+              1.77731,
+              3.5399
+            ],
+            [
+              0.03554,
+              0.08568,
+              0.13558,
+              0.24012,
+              0.45673,
+              0.89658,
+              1.77814,
+              3.5402
+            ],
+            [
+              0.03553,
+              0.08574,
+              0.13561,
+              0.2404,
+              0.45677,
+              0.89654,
+              1.77745,
+              3.5413
+            ],
+            [
+              0.03947,
+              0.08896,
+              0.13777,
+              0.24131,
+              0.45701,
+              0.89638,
+              1.77895,
+              3.5423
+            ],
+            [
+              0.04985,
+              0.09832,
+              0.14529,
+              0.2461,
+              0.45942,
+              0.89764,
+              1.7796,
+              3.5383
+            ],
+            [
+              0.06912,
+              0.11919,
+              0.16364,
+              0.2611,
+              0.4698,
+              0.9009,
+              1.7788,
+              3.54
+            ],
+            [
+              0.0859,
+              0.1389,
+              0.1818,
+              0.2773,
+              0.4848,
+              0.9115,
+              1.7814,
+              3.5388
+            ],
+            [
+              0.1265,
+              0.1869,
+              0.2301,
+              0.3207,
+              0.5259,
+              0.9561,
+              1.8133,
+              3.5467
+            ],
+            [
+              0.1457,
+              0.2094,
+              0.2533,
+              0.3426,
+              0.5454,
+              0.9801,
+              1.8358,
+              3.5584
+            ],
+            [
+              0.1639,
+              0.2301,
+              0.2758,
+              0.3643,
+              0.5643,
+              1.0003,
+              1.8635,
+              3.5766
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate"
+      },
+      {
+        "cell_fall delay_template11x8": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0.0,
+            0.00858,
+            0.01716,
+            0.03431,
+            0.06861,
+            0.13723,
+            0.27446,
+            0.54891
+          ],
+          "values": [
+            [
+              0.13713,
+              0.19941,
+              0.24783,
+              0.33818,
+              0.51455,
+              0.86721,
+              1.57397,
+              2.98787
+            ],
+            [
+              0.14049,
+              0.20279,
+              0.25122,
+              0.34155,
+              0.51795,
+              0.87067,
+              1.57717,
+              2.99067
+            ],
+            [
+              0.14201,
+              0.2043,
+              0.25272,
+              0.34307,
+              0.51946,
+              0.8723,
+              1.57903,
+              2.99373
+            ],
+            [
+              0.146,
+              0.2081,
+              0.25646,
+              0.34676,
+              0.52313,
+              0.87597,
+              1.5823,
+              2.9965
+            ],
+            [
+              0.16893,
+              0.23103,
+              0.27866,
+              0.36809,
+              0.54359,
+              0.8959,
+              1.6021,
+              3.0161
+            ],
+            [
+              0.20619,
+              0.27221,
+              0.32043,
+              0.40926,
+              0.58279,
+              0.933,
+              1.6377,
+              3.0518
+            ],
+            [
+              0.26375,
+              0.3397,
+              0.3936,
+              0.4867,
+              0.6607,
+              1.0069,
+              1.7079,
+              3.1189
+            ],
+            [
+              0.3095,
+              0.3928,
+              0.4516,
+              0.5513,
+              0.7324,
+              1.0787,
+              1.776,
+              3.1833
+            ],
+            [
+              0.41137,
+              0.50877,
+              0.57767,
+              0.69297,
+              0.89437,
+              1.26487,
+              1.96297,
+              3.36057
+            ],
+            [
+              0.45653,
+              0.55943,
+              0.63243,
+              0.75423,
+              0.96543,
+              1.34843,
+              2.06033,
+              3.45283
+            ],
+            [
+              0.4986,
+              0.6064,
+              0.683,
+              0.8107,
+              1.0309,
+              1.426,
+              2.1547,
+              3.5458
+            ]
+          ]
+        },
+        "cell_rise delay_template11x8": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0.0,
+            0.00858,
+            0.01716,
+            0.03431,
+            0.06861,
+            0.13723,
+            0.27446,
+            0.54891
+          ],
+          "values": [
+            [
+              0.12672,
+              0.17873,
+              0.21864,
+              0.29348,
+              0.44053,
+              0.73456,
+              1.32387,
+              2.50387
+            ],
+            [
+              0.12863,
+              0.18065,
+              0.22057,
+              0.2954,
+              0.4424,
+              0.73673,
+              1.32567,
+              2.50437
+            ],
+            [
+              0.12808,
+              0.1801,
+              0.22002,
+              0.29485,
+              0.44191,
+              0.73606,
+              1.32523,
+              2.50303
+            ],
+            [
+              0.12876,
+              0.18069,
+              0.22054,
+              0.29531,
+              0.44241,
+              0.7366,
+              1.3254,
+              2.5051
+            ],
+            [
+              0.14428,
+              0.19694,
+              0.2363,
+              0.31028,
+              0.45647,
+              0.75006,
+              1.3394,
+              2.5162
+            ],
+            [
+              0.16174,
+              0.21955,
+              0.26005,
+              0.33429,
+              0.47925,
+              0.7711,
+              1.3586,
+              2.5354
+            ],
+            [
+              0.17719,
+              0.24474,
+              0.2893,
+              0.3673,
+              0.5156,
+              0.8059,
+              1.39,
+              2.5657
+            ],
+            [
+              0.1816,
+              0.2572,
+              0.3057,
+              0.3874,
+              0.5406,
+              0.8363,
+              1.4184,
+              2.592
+            ],
+            [
+              0.17197,
+              0.26447,
+              0.32227,
+              0.41407,
+              0.57757,
+              0.89167,
+              1.49077,
+              2.65687
+            ],
+            [
+              0.16073,
+              0.26003,
+              0.32223,
+              0.41893,
+              0.58723,
+              0.90773,
+              1.52113,
+              2.69043
+            ],
+            [
+              0.1471,
+              0.2526,
+              0.3186,
+              0.4201,
+              0.5931,
+              0.9196,
+              1.5447,
+              2.7246
+            ]
+          ]
+        },
+        "fall_transition delay_template11x8": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0.0,
+            0.00858,
+            0.01716,
+            0.03431,
+            0.06861,
+            0.13723,
+            0.27446,
+            0.54891
+          ],
+          "values": [
+            [
+              0.03664,
+              0.09222,
+              0.14424,
+              0.25269,
+              0.47815,
+              0.93809,
+              1.86175,
+              3.7088
+            ],
+            [
+              0.03664,
+              0.0922,
+              0.14425,
+              0.25261,
+              0.47822,
+              0.93831,
+              1.86213,
+              3.7045
+            ],
+            [
+              0.03664,
+              0.09221,
+              0.14425,
+              0.25274,
+              0.47816,
+              0.93845,
+              1.86127,
+              3.7097
+            ],
+            [
+              0.03668,
+              0.09233,
+              0.14425,
+              0.25272,
+              0.4783,
+              0.93813,
+              1.86126,
+              3.7039
+            ],
+            [
+              0.04105,
+              0.09606,
+              0.14667,
+              0.2538,
+              0.47881,
+              0.9384,
+              1.86126,
+              3.7046
+            ],
+            [
+              0.05311,
+              0.10894,
+              0.15705,
+              0.25981,
+              0.48063,
+              0.93875,
+              1.8624,
+              3.7096
+            ],
+            [
+              0.07488,
+              0.13925,
+              0.1873,
+              0.2847,
+              0.4936,
+              0.942,
+              1.8618,
+              3.7096
+            ],
+            [
+              0.0929,
+              0.1647,
+              0.2164,
+              0.3152,
+              0.5193,
+              0.954,
+              1.8626,
+              3.7106
+            ],
+            [
+              0.1348,
+              0.2219,
+              0.2835,
+              0.3928,
+              0.6036,
+              1.0257,
+              1.8926,
+              3.7096
+            ],
+            [
+              0.1541,
+              0.2475,
+              0.3134,
+              0.4289,
+              0.6452,
+              1.072,
+              1.9247,
+              3.7155
+            ],
+            [
+              0.1727,
+              0.2713,
+              0.3415,
+              0.4625,
+              0.6856,
+              1.1182,
+              1.9639,
+              3.7328
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_transition delay_template11x8": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0.0,
+            0.00858,
+            0.01716,
+            0.03431,
+            0.06861,
+            0.13723,
+            0.27446,
+            0.54891
+          ],
+          "values": [
+            [
+              0.03558,
+              0.08576,
+              0.13555,
+              0.24037,
+              0.45676,
+              0.89635,
+              1.77761,
+              3.5416
+            ],
+            [
+              0.03554,
+              0.08568,
+              0.13557,
+              0.24036,
+              0.4566,
+              0.89721,
+              1.77727,
+              3.5401
+            ],
+            [
+              0.03558,
+              0.08568,
+              0.13559,
+              0.24036,
+              0.45674,
+              0.89676,
+              1.7775,
+              3.5389
+            ],
+            [
+              0.03552,
+              0.08575,
+              0.13561,
+              0.24017,
+              0.45674,
+              0.8962,
+              1.77695,
+              3.5404
+            ],
+            [
+              0.03976,
+              0.08928,
+              0.13789,
+              0.24148,
+              0.45746,
+              0.89634,
+              1.77773,
+              3.5408
+            ],
+            [
+              0.05105,
+              0.10118,
+              0.14787,
+              0.24764,
+              0.45953,
+              0.89698,
+              1.77922,
+              3.5414
+            ],
+            [
+              0.07165,
+              0.12595,
+              0.17143,
+              0.2692,
+              0.4754,
+              0.9028,
+              1.7783,
+              3.5405
+            ],
+            [
+              0.0899,
+              0.1483,
+              0.1941,
+              0.2906,
+              0.4974,
+              0.92,
+              1.7829,
+              3.5417
+            ],
+            [
+              0.1353,
+              0.2023,
+              0.2512,
+              0.3473,
+              0.5548,
+              0.984,
+              1.8291,
+              3.5492
+            ],
+            [
+              0.1571,
+              0.2274,
+              0.2782,
+              0.3755,
+              0.5823,
+              1.0153,
+              1.8633,
+              3.5701
+            ],
+            [
+              0.1783,
+              0.2511,
+              0.3038,
+              0.4025,
+              0.6094,
+              1.0451,
+              1.9001,
+              3.5925
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate"
+      },
+      {
+        "cell_fall delay_template11x8": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0.0,
+            0.00858,
+            0.01716,
+            0.03431,
+            0.06861,
+            0.13723,
+            0.27446,
+            0.54891
+          ],
+          "values": [
+            [
+              0.08411,
+              0.14062,
+              0.18724,
+              0.27639,
+              0.45303,
+              0.80661,
+              1.51407,
+              2.92897
+            ],
+            [
+              0.08835,
+              0.14449,
+              0.19107,
+              0.2802,
+              0.45687,
+              0.8103,
+              1.51807,
+              2.93187
+            ],
+            [
+              0.0901,
+              0.14611,
+              0.19269,
+              0.28181,
+              0.45849,
+              0.81199,
+              1.51963,
+              2.93273
+            ],
+            [
+              0.10266,
+              0.15803,
+              0.20445,
+              0.2935,
+              0.47015,
+              0.82369,
+              1.5311,
+              2.9462
+            ],
+            [
+              0.14239,
+              0.1983,
+              0.24416,
+              0.33235,
+              0.5082,
+              0.8612,
+              1.5677,
+              2.9821
+            ],
+            [
+              0.18996,
+              0.24796,
+              0.29414,
+              0.38262,
+              0.55739,
+              0.9092,
+              1.6158,
+              3.0301
+            ],
+            [
+              0.26471,
+              0.3284,
+              0.3773,
+              0.4685,
+              0.6443,
+              0.9945,
+              1.6985,
+              3.1118
+            ],
+            [
+              0.3274,
+              0.3956,
+              0.4471,
+              0.5416,
+              0.7232,
+              1.0743,
+              1.7764,
+              3.1886
+            ],
+            [
+              0.47627,
+              0.55457,
+              0.61147,
+              0.71347,
+              0.90667,
+              1.27837,
+              1.98427,
+              3.39097
+            ],
+            [
+              0.54593,
+              0.62843,
+              0.68773,
+              0.79303,
+              0.99103,
+              1.37173,
+              2.08913,
+              3.49363
+            ],
+            [
+              0.6126,
+              0.6988,
+              0.7603,
+              0.8687,
+              1.0712,
+              1.4592,
+              2.1917,
+              3.5965
+            ]
+          ]
+        },
+        "cell_rise delay_template11x8": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0.0,
+            0.00858,
+            0.01716,
+            0.03431,
+            0.06861,
+            0.13723,
+            0.27446,
+            0.54891
+          ],
+          "values": [
+            [
+              0.06513,
+              0.10802,
+              0.14533,
+              0.219,
+              0.36635,
+              0.66073,
+              1.24997,
+              2.42927
+            ],
+            [
+              0.06913,
+              0.11178,
+              0.14907,
+              0.22271,
+              0.36993,
+              0.66439,
+              1.25357,
+              2.43237
+            ],
+            [
+              0.07105,
+              0.11362,
+              0.15091,
+              0.22458,
+              0.37177,
+              0.66654,
+              1.25583,
+              2.43443
+            ],
+            [
+              0.08069,
+              0.12251,
+              0.15962,
+              0.23319,
+              0.38028,
+              0.67477,
+              1.2638,
+              2.4428
+            ],
+            [
+              0.09876,
+              0.14234,
+              0.17893,
+              0.25143,
+              0.39755,
+              0.69179,
+              1.2802,
+              2.4597
+            ],
+            [
+              0.10701,
+              0.15517,
+              0.19229,
+              0.26478,
+              0.40985,
+              0.7025,
+              1.2906,
+              2.4682
+            ],
+            [
+              0.10396,
+              0.16046,
+              0.19921,
+              0.27289,
+              0.4202,
+              0.7119,
+              1.2977,
+              2.4741
+            ],
+            [
+              0.0908,
+              0.154,
+              0.1951,
+              0.2697,
+              0.4188,
+              0.715,
+              1.2991,
+              2.4737
+            ],
+            [
+              0.03387,
+              0.11047,
+              0.15887,
+              0.23757,
+              0.38887,
+              0.69367,
+              1.29297,
+              2.46307
+            ],
+            [
+              -0.00147,
+              0.08043,
+              0.13223,
+              0.21413,
+              0.36613,
+              0.67333,
+              1.27983,
+              2.45643
+            ],
+            [
+              -0.039,
+              0.0475,
+              0.1023,
+              0.1877,
+              0.3408,
+              0.6498,
+              1.2628,
+              2.4488
+            ]
+          ]
+        },
+        "fall_transition delay_template11x8": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0.0,
+            0.00858,
+            0.01716,
+            0.03431,
+            0.06861,
+            0.13723,
+            0.27446,
+            0.54891
+          ],
+          "values": [
+            [
+              0.0262,
+              0.08045,
+              0.13475,
+              0.24683,
+              0.47571,
+              0.93766,
+              1.86105,
+              3.7094
+            ],
+            [
+              0.02621,
+              0.0804,
+              0.13474,
+              0.24668,
+              0.47537,
+              0.93769,
+              1.86098,
+              3.7081
+            ],
+            [
+              0.02621,
+              0.08043,
+              0.1347,
+              0.24674,
+              0.47571,
+              0.93719,
+              1.86165,
+              3.7078
+            ],
+            [
+              0.02629,
+              0.0807,
+              0.13486,
+              0.24675,
+              0.47566,
+              0.93769,
+              1.86112,
+              3.7075
+            ],
+            [
+              0.03095,
+              0.08513,
+              0.13756,
+              0.24774,
+              0.47623,
+              0.93738,
+              1.86063,
+              3.7082
+            ],
+            [
+              0.03901,
+              0.09286,
+              0.14427,
+              0.25202,
+              0.47709,
+              0.9375,
+              1.8615,
+              3.7092
+            ],
+            [
+              0.05342,
+              0.11031,
+              0.1616,
+              0.2672,
+              0.4862,
+              0.9396,
+              1.8603,
+              3.7075
+            ],
+            [
+              0.0657,
+              0.1257,
+              0.1774,
+              0.2849,
+              0.503,
+              0.9471,
+              1.8625,
+              3.7084
+            ],
+            [
+              0.0946,
+              0.1616,
+              0.2163,
+              0.3266,
+              0.5537,
+              0.9967,
+              1.8831,
+              3.7109
+            ],
+            [
+              0.1079,
+              0.178,
+              0.2344,
+              0.3463,
+              0.5762,
+              1.0271,
+              1.9044,
+              3.7137
+            ],
+            [
+              0.1206,
+              0.1938,
+              0.2513,
+              0.3653,
+              0.5976,
+              1.0565,
+              1.9319,
+              3.7236
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_transition delay_template11x8": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0.0,
+            0.00858,
+            0.01716,
+            0.03431,
+            0.06861,
+            0.13723,
+            0.27446,
+            0.54891
+          ],
+          "values": [
+            [
+              0.02104,
+              0.07152,
+              0.1248,
+              0.234,
+              0.45421,
+              0.89464,
+              1.77612,
+              3.53892
+            ],
+            [
+              0.0211,
+              0.07157,
+              0.12484,
+              0.23399,
+              0.4542,
+              0.89511,
+              1.77623,
+              3.5379
+            ],
+            [
+              0.02107,
+              0.07157,
+              0.12482,
+              0.23398,
+              0.45428,
+              0.8948,
+              1.77597,
+              3.53939
+            ],
+            [
+              0.02135,
+              0.07175,
+              0.12494,
+              0.23399,
+              0.45417,
+              0.89483,
+              1.77636,
+              3.5394
+            ],
+            [
+              0.02986,
+              0.07664,
+              0.12772,
+              0.23492,
+              0.45459,
+              0.89501,
+              1.77664,
+              3.5401
+            ],
+            [
+              0.04059,
+              0.08603,
+              0.13488,
+              0.23969,
+              0.45606,
+              0.89494,
+              1.77772,
+              3.5392
+            ],
+            [
+              0.05754,
+              0.10385,
+              0.15001,
+              0.2527,
+              0.46701,
+              0.9,
+              1.7758,
+              3.5402
+            ],
+            [
+              0.0718,
+              0.1205,
+              0.1646,
+              0.2648,
+              0.4802,
+              0.9124,
+              1.7806,
+              3.5388
+            ],
+            [
+              0.1056,
+              0.1617,
+              0.204,
+              0.2975,
+              0.5101,
+              0.9513,
+              1.8156,
+              3.5519
+            ],
+            [
+              0.1214,
+              0.1806,
+              0.2234,
+              0.3143,
+              0.524,
+              0.9679,
+              1.8371,
+              3.5625
+            ],
+            [
+              0.1363,
+              0.198,
+              0.2424,
+              0.3317,
+              0.5379,
+              0.983,
+              1.8611,
+              3.5847
+            ]
+          ]
+        },
+        "sdf_cond": "(!A1&!A2)",
+        "timing_sense": "positive_unate",
+        "when": "(!A1&!A2)"
+      },
+      {
+        "cell_fall delay_template11x8": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0.0,
+            0.00858,
+            0.01716,
+            0.03431,
+            0.06861,
+            0.13723,
+            0.27446,
+            0.54891
+          ],
+          "values": [
+            [
+              0.1008,
+              0.1614,
+              0.20954,
+              0.29978,
+              0.47641,
+              0.82947,
+              1.53627,
+              2.95107
+            ],
+            [
+              0.10546,
+              0.16558,
+              0.21366,
+              0.30389,
+              0.48046,
+              0.83359,
+              1.54057,
+              2.95507
+            ],
+            [
+              0.10657,
+              0.16657,
+              0.21465,
+              0.30488,
+              0.48142,
+              0.83462,
+              1.54173,
+              2.95533
+            ],
+            [
+              0.11853,
+              0.17815,
+              0.2261,
+              0.31628,
+              0.49289,
+              0.84594,
+              1.5531,
+              2.9666
+            ],
+            [
+              0.1632,
+              0.22323,
+              0.27051,
+              0.35991,
+              0.5358,
+              0.8884,
+              1.5948,
+              3.0097
+            ],
+            [
+              0.22182,
+              0.28267,
+              0.33024,
+              0.42006,
+              0.59495,
+              0.9464,
+              1.6525,
+              3.0657
+            ],
+            [
+              0.3204,
+              0.3865,
+              0.4365,
+              0.5279,
+              0.7034,
+              1.053,
+              1.7567,
+              3.1695
+            ],
+            [
+              0.4065,
+              0.4768,
+              0.5297,
+              0.6246,
+              0.8044,
+              1.1545,
+              1.8562,
+              3.2681
+            ],
+            [
+              0.61887,
+              0.69847,
+              0.75697,
+              0.86057,
+              1.05327,
+              1.42067,
+              2.12297,
+              3.52917
+            ],
+            [
+              0.72083,
+              0.80443,
+              0.86523,
+              0.97243,
+              1.17063,
+              1.54793,
+              2.25823,
+              3.66243
+            ],
+            [
+              0.8196,
+              0.9067,
+              0.9697,
+              1.0801,
+              1.2834,
+              1.6689,
+              2.3923,
+              3.7955
+            ]
+          ]
+        },
+        "cell_rise delay_template11x8": {
+          "index_1": [
+            0.001,
+            0.013,
+            0.026,
+            0.072,
+            0.216,
+            0.432,
+            0.864,
+            1.296,
+            2.5,
+            3.125,
+            3.75
+          ],
+          "index_2": [
+            0.0,
+            0.00858,
+            0.01716,
+            0.03431,
+            0.06861,
+            0.13723,
+            0.27446,
+            0.54891
+          ],
+          "values": [
+            [
+              0.06525,
+              0.10813,
+              0.14544,
+              0.21912,
+              0.36625,
+              0.66125,
+              1.25017,
+              2.42877
+            ],
+            [
+              0.0696,
+              0.11225,
+              0.14953,
+              0.22314,
+              0.37036,
+              0.66508,
+              1.25457,
+              2.43177
+            ],
+            [
+              0.07181,
+              0.11439,
+              0.15168,
+              0.2253,
+              0.37252,
+              0.66692,
+              1.25673,
+              2.43483
+            ],
+            [
+              0.08139,
+              0.12326,
+              0.16037,
+              0.23389,
+              0.38094,
+              0.67557,
+              1.2645,
+              2.4442
+            ],
+            [
+              0.09572,
+              0.1394,