blob: 0d5df9d6688f9cd9f12ce3e01ab0e3fda2633305 [file] [log] [blame]
{
"area": 46.8864,
"cell_footprint": "sky130_fd_sc_hvl__sdlxtp",
"cell_leakage_power": 0.4660687,
"latch,IQ,IQ_N": {
"data_in": "(SCE&SCD) | (D&!SCE)",
"enable": "GATE"
},
"leakage_power": [
{
"value": 0.445315,
"when": "!D&!SCD&SCE&GATE&!Q"
},
{
"value": 0.378747,
"when": "!D&SCD&!SCE&GATE&!Q"
},
{
"value": 0.461819,
"when": "D&!SCD&!SCE&GATE&Q"
},
{
"value": 0.388105,
"when": "!D&!SCD&!SCE&!GATE&!Q"
},
{
"value": 0.378708,
"when": "!D&!SCD&!SCE&GATE&!Q"
},
{
"value": 0.298656,
"when": "!D&SCD&SCE&GATE&Q"
},
{
"value": 0.445354,
"when": "D&!SCD&SCE&GATE&!Q"
},
{
"value": 0.454712,
"when": "!D&!SCD&SCE&!GATE&!Q"
},
{
"value": 0.46181,
"when": "D&SCD&!SCE&GATE&Q"
},
{
"value": 0.388144,
"when": "!D&SCD&!SCE&!GATE&!Q"
},
{
"value": 0.413528,
"when": "D&SCD&SCE&GATE&Q"
},
{
"value": 0.455925,
"when": "!D&SCD&SCE&!GATE&Q"
},
{
"value": 0.554904,
"when": "!D&SCD&SCE&!GATE&!Q"
},
{
"value": 0.669776,
"when": "D&SCD&SCE&!GATE&!Q"
},
{
"value": 0.619088,
"when": "D&!SCD&!SCE&!GATE&Q"
},
{
"value": 0.454751,
"when": "D&!SCD&SCE&!GATE&!Q"
},
{
"value": 0.61908,
"when": "D&SCD&!SCE&!GATE&Q"
},
{
"value": 0.570797,
"when": "D&SCD&SCE&!GATE&Q"
},
{
"value": 0.718067,
"when": "D&!SCD&!SCE&!GATE&!Q"
},
{
"value": 0.35586,
"when": "!D&!SCD&SCE&!GATE&Q"
},
{
"value": 0.289292,
"when": "!D&SCD&!SCE&!GATE&Q"
},
{
"value": 0.718058,
"when": "D&SCD&!SCE&!GATE&!Q"
},
{
"value": 0.355899,
"when": "D&!SCD&SCE&!GATE&Q"
},
{
"value": 0.289253,
"when": "!D&!SCD&!SCE&!GATE&Q"
}
],
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,D": {
"capacitance": 0.002669,
"ccsn_first_stage": [
{
"dc_current,ccsn_dc": {
"index_1": [
-5.5,
-2.75,
-1.1,
-0.55,
0,
0.275,
0.55,
0.825,
1.1,
1.375,
1.65,
1.925,
2.2,
2.475,
2.75,
3.025,
3.3,
3.575,
3.85,
4.125,
4.4,
4.675,
4.95,
5.225,
5.5,
6.05,
6.6,
8.25,
11
],
"index_2": [
-5.5,
-2.75,
-1.1,
-0.55,
0,
0.275,
0.55,
0.825,
1.1,
1.375,
1.65,
1.925,
2.2,
2.475,
2.75,
3.025,
3.3,
3.575,
3.85,
4.125,
4.4,
4.675,
4.95,
5.225,
5.5,
6.05,
6.6,
8.25,
11
],
"values": [
[
16.4922,
6.66094,
0.919969,
0.354565,
0.347974,
0.344055,
0.339622,
0.334563,
0.328714,
0.321837,
0.313573,
0.303389,
0.290618,
0.275013,
0.257246,
0.237891,
0.217106,
0.194917,
0.171327,
0.146329,
0.119918,
0.0920852,
0.0628253,
0.032132,
-8.46369e-08,
-0.0661469,
-0.158223,
-6.01174,
-16.8664
],
[
16.4741,
6.64235,
0.898785,
0.324796,
0.321589,
0.31947,
0.316801,
0.313302,
0.308512,
0.301885,
0.293182,
0.282401,
0.269691,
0.255335,
0.239495,
0.222215,
0.203493,
0.183315,
0.161666,
0.138527,
0.113884,
0.0877231,
0.0600302,
0.030793,
-6.7237e-08,
-0.0637824,
-0.153999,
-6.01127,
-16.866
],
[
16.4556,
6.61655,
0.867355,
0.275291,
0.271985,
0.270063,
0.267897,
0.265416,
0.262513,
0.259022,
0.25467,
0.248979,
0.241169,
0.230698,
0.218115,
0.203822,
0.187877,
0.17028,
0.151019,
0.130084,
0.107467,
0.0831573,
0.0571483,
0.0294317,
-5.89061e-08,
-0.0614505,
-0.150017,
-6.01085,
-16.8656
],
[
16.4486,
6.60408,
0.852363,
0.251475,
0.248488,
0.246797,
0.244928,
0.242835,
0.240453,
0.237684,
0.234374,
0.230269,
0.224915,
0.217508,
0.207276,
0.194679,
0.180211,
0.163952,
0.145908,
0.126075,
0.104451,
0.0810342,
0.0558214,
0.0288109,
-6.37695e-08,
-0.0604124,
-0.148296,
-6.01067,
-16.8654
],
[
16.4448,
6.59412,
0.83954,
0.226116,
0.223322,
0.221852,
0.22025,
0.218487,
0.21652,
0.214289,
0.211705,
0.208628,
0.204823,
0.199863,
0.192944,
0.183092,
0.170615,
0.156081,
0.139587,
0.121147,
0.100768,
0.0784565,
0.0542205,
0.0280664,
-5.93742e-08,
-0.0591871,
-0.146303,
-6.01047,
-16.8653
],
[
16.4429,
6.58942,
0.834722,
0.215245,
0.210227,
0.208857,
0.207376,
0.205757,
0.203965,
0.201955,
0.199656,
0.196963,
0.193705,
0.189581,
0.184018,
0.17598,
0.164879,
0.151405,
0.135848,
0.118244,
0.0986073,
0.0769518,
0.0532904,
0.0276359,
-2.5335e-07,
-0.0584885,
-0.145185,
-6.01036,
-16.8652
],
[
16.4407,
6.58458,
0.830502,
0.211953,
0.196826,
0.195548,
0.194176,
0.192687,
0.191053,
0.189236,
0.187182,
0.184811,
0.181997,
0.178526,
0.174003,
0.167641,
0.1583,
0.146083,
0.131591,
0.114934,
0.0961384,
0.075223,
0.0522068,
0.0271099,
-4.89104e-05,
-0.0577701,
-0.144021,
-6.01027,
-16.8651
],
[
16.4384,
6.57971,
0.826757,
0.210877,
0.182425,
0.180659,
0.179071,
0.177419,
0.175658,
0.17375,
0.171649,
0.169293,
0.166588,
0.163379,
0.159396,
0.154103,
0.146443,
0.135555,
0.122047,
0.106296,
0.0884221,
0.0683379,
0.0460472,
0.0215757,
-0.00505,
-0.0619387,
-0.147655,
-6.01288,
-16.8679
],
[
16.4359,
6.57487,
0.823081,
0.208935,
0.165327,
0.156544,
0.153079,
0.15048,
0.148091,
0.145729,
0.143299,
0.140724,
0.137916,
0.134757,
0.131054,
0.126454,
0.120228,
0.11112,
0.0989611,
0.0844496,
0.067681,
0.0485796,
0.0271497,
0.00342164,
-0.0225697,
-0.0785144,
-0.163486,
-6.02231,
-16.878
],
[
16.4332,
6.56996,
0.819164,
0.204867,
0.149447,
0.130967,
0.122549,
0.118349,
0.115228,
0.112471,
0.109834,
0.107187,
0.10443,
0.101457,
0.0981243,
0.0941932,
0.0892024,
0.0821673,
0.0719652,
0.059032,
0.0436125,
0.0256889,
0.00528253,
-0.0175653,
-0.0428077,
-0.0976406,
-0.181756,
-6.03311,
-16.8899
],
[
16.4299,
6.56486,
0.814784,
0.198694,
0.134409,
0.108686,
0.0926629,
0.0851936,
0.0809177,
0.0776463,
0.0747713,
0.0720493,
0.0693419,
0.0665398,
0.0635245,
0.0601289,
0.056062,
0.0507148,
0.0428389,
0.0317688,
0.0179173,
0.0013646,
-0.0178539,
-0.0396854,
-0.0640689,
-0.117638,
-0.200846,
-6.04445,
-16.9029
],
[
16.4259,
6.55949,
0.809722,
0.190896,
0.119821,
0.089016,
0.0661375,
0.0528908,
0.0464316,
0.0423246,
0.0390844,
0.0362226,
0.0335192,
0.0308398,
0.0280734,
0.0250942,
0.0217165,
0.0175945,
0.0118739,
0.00304365,
-0.0089885,
-0.0239513,
-0.0417923,
-0.0624499,
-0.0858484,
-0.137985,
-0.220233,
-6.05611,
-16.9172
],
[
16.4212,
6.55377,
0.803898,
0.18191,
0.105508,
0.0709938,
0.0431089,
0.0232912,
0.0127123,
0.0070752,
0.00321068,
8.55909e-05,
-0.0026902,
-0.00530927,
-0.00789523,
-0.0105529,
-0.0133977,
-0.0166166,
-0.0207569,
-0.0271709,
-0.0370577,
-0.0501707,
-0.0664055,
-0.0856973,
-0.107957,
-0.158458,
-0.239525,
-6.06804,
-16.9329
],
[
16.4154,
6.54766,
0.797405,
0.172068,
0.0913974,
0.0540689,
0.0225285,
-0.00252401,
-0.0189591,
-0.0274957,
-0.0324932,
-0.0361003,
-0.0390663,
-0.0417051,
-0.0441795,
-0.0465885,
-0.0489962,
-0.0515307,
-0.0545931,
-0.0590155,
-0.0663945,
-0.0773449,
-0.0916954,
-0.109383,
-0.130313,
-0.17893,
-0.258597,
-6.08026,
-16.9502
],
[
16.4084,
6.54113,
0.790395,
0.161624,
0.0774806,
0.0379481,
0.00363848,
-0.025155,
-0.0471427,
-0.0604304,
-0.0675473,
-0.0720366,
-0.0753838,
-0.0781525,
-0.0805892,
-0.0828015,
-0.084834,
-0.0868482,
-0.0891614,
-0.092243,
-0.0971793,
-0.105592,
-0.117721,
-0.133508,
-0.152865,
-0.199293,
-0.277461,
-6.09279,
-16.9694
],
[
16.4,
6.53421,
0.78301,
0.150787,
0.0637935,
0.022494,
-0.0139802,
-0.0455268,
-0.0715679,
-0.0903348,
-0.10115,
-0.107273,
-0.111334,
-0.114398,
-0.116883,
-0.118932,
-0.12065,
-0.122272,
-0.124048,
-0.12625,
-0.129429,
-0.135063,
-0.144569,
-0.158086,
-0.17556,
-0.219418,
-0.295989,
-6.10573,
-16.9907
],
[
16.3902,
6.52692,
0.775381,
0.139754,
0.0504178,
0.0076799,
-0.0305257,
-0.064186,
-0.0930661,
-0.116248,
-0.132015,
-0.141046,
-0.146424,
-0.150057,
-0.152697,
-0.154622,
-0.156101,
-0.157434,
-0.158821,
-0.160427,
-0.162524,
-0.165856,
-0.172332,
-0.18312,
-0.198314,
-0.239121,
-0.313995,
-6.11914,
-17.0143
],
[
16.3789,
6.51931,
0.767651,
0.128742,
0.037497,
-0.00641964,
-0.0460306,
-0.0813622,
-0.11233,
-0.138529,
-0.158826,
-0.172091,
-0.17981,
-0.18448,
-0.187438,
-0.189319,
-0.190655,
-0.191793,
-0.192905,
-0.194105,
-0.195531,
-0.197486,
-0.201044,
-0.208569,
-0.220966,
-0.258101,
-0.331173,
-6.13302,
-17.04
],
[
16.3662,
6.51149,
0.760013,
0.118041,
0.025275,
-0.019604,
-0.0603619,
-0.097038,
-0.12961,
-0.157902,
-0.181358,
-0.198885,
-0.21007,
-0.216508,
-0.220067,
-0.222073,
-0.223398,
-0.224449,
-0.225398,
-0.226337,
-0.227348,
-0.228555,
-0.2303,
-0.234251,
-0.243191,
-0.27583,
-0.346953,
-6.14721,
-17.0675
],
[
16.3525,
6.50371,
0.752782,
0.108109,
0.0141752,
-0.0314763,
-0.0731651,
-0.110928,
-0.144765,
-0.174588,
-0.20012,
-0.220698,
-0.235404,
-0.244222,
-0.248776,
-0.251178,
-0.252684,
-0.253795,
-0.254714,
-0.25554,
-0.256332,
-0.25715,
-0.258094,
-0.259544,
-0.264296,
-0.2913,
-0.3602,
-6.16119,
-17.0954
],
[
16.3389,
6.49656,
0.746561,
0.0997919,
0.0050031,
-0.041248,
-0.0836766,
-0.12231,
-0.157146,
-0.188129,
-0.215083,
-0.23756,
-0.25465,
-0.265447,
-0.271061,
-0.27404,
-0.275888,
-0.277203,
-0.278228,
-0.279079,
-0.279821,
-0.280493,
-0.281135,
-0.281811,
-0.283005,
-0.302719,
-0.368715,
-6.17372,
-17.1214
],
[
16.3294,
6.4922,
0.743331,
0.0957461,
0.000265348,
-0.0464442,
-0.0894473,
-0.128759,
-0.16437,
-0.19623,
-0.224188,
-0.247845,
-0.266212,
-0.277957,
-0.284125,
-0.287484,
-0.289604,
-0.291111,
-0.292269,
-0.29321,
-0.294005,
-0.294695,
-0.295318,
-0.295919,
-0.296587,
-0.308205,
-0.36967,
-6.18313,
-17.1419
],
[
16.3287,
6.49215,
0.743802,
0.0964611,
6.28842e-07,
-0.0472207,
-0.090817,
-0.130795,
-0.16714,
-0.199795,
-0.228608,
-0.253152,
-0.272276,
-0.284395,
-0.290783,
-0.29436,
-0.296678,
-0.298358,
-0.299669,
-0.300746,
-0.301663,
-0.302466,
-0.303196,
-0.303908,
-0.304706,
-0.309676,
-0.363647,
-6.18917,
-17.1558
],
[
16.3291,
6.49248,
0.744483,
0.097385,
2.96918e-08,
-0.0476919,
-0.091825,
-0.132398,
-0.169387,
-0.202725,
-0.232243,
-0.25746,
-0.277036,
-0.289249,
-0.295678,
-0.299342,
-0.301756,
-0.303525,
-0.304919,
-0.30607,
-0.307055,
-0.307922,
-0.308715,
-0.309495,
-0.310378,
-0.313494,
-0.355106,
-6.19301,
-17.1652
],
[
16.3294,
6.49277,
0.745099,
0.0982078,
2.97338e-08,
-0.0481082,
-0.092714,
-0.133808,
-0.171355,
-0.205277,
-0.23538,
-0.26112,
-0.280975,
-0.29316,
-0.299564,
-0.303258,
-0.305719,
-0.307537,
-0.308975,
-0.310169,
-0.311193,
-0.312098,
-0.312928,
-0.31375,
-0.314691,
-0.318,
-0.350465,
-6.19559,
-17.172
],
[
16.33,
6.49326,
0.746161,
0.0996009,
3.34371e-08,
-0.0488076,
-0.0942042,
-0.136162,
-0.174627,
-0.209491,
-0.240511,
-0.267013,
-0.287154,
-0.299167,
-0.305471,
-0.309172,
-0.311673,
-0.31354,
-0.315027,
-0.316266,
-0.317334,
-0.31828,
-0.319154,
-0.32003,
-0.32105,
-0.324694,
-0.355188,
-6.20029,
-17.1821
],
[
16.3305,
6.49367,
0.747036,
0.100725,
4.5118e-08,
-0.0493669,
-0.0953935,
-0.138035,
-0.177218,
-0.212806,
-0.244511,
-0.271537,
-0.291787,
-0.303602,
-0.309807,
-0.313495,
-0.316013,
-0.317904,
-0.319418,
-0.320683,
-0.321776,
-0.322746,
-0.323646,
-0.324558,
-0.325633,
-0.32953,
-0.360565,
-6.20456,
-17.1906
],
[
16.3315,
6.49451,
0.748874,
0.103004,
2.6093e-07,
-0.0504866,
-0.0977762,
-0.141782,
-0.182381,
-0.219372,
-0.252358,
-0.280266,
-0.300509,
-0.311857,
-0.317855,
-0.321503,
-0.324037,
-0.325961,
-0.327513,
-0.328817,
-0.329947,
-0.330956,
-0.331901,
-0.332876,
-0.334057,
-0.338446,
-0.370574,
-6.21262,
-17.2065
],
[
16.3324,
6.49521,
0.750396,
0.104775,
3.04857e-06,
-0.0513329,
-0.0996137,
-0.144707,
-0.186436,
-0.224537,
-0.258505,
-0.287011,
-0.3071,
-0.318058,
-0.323901,
-0.327514,
-0.330055,
-0.332,
-0.333576,
-0.334905,
-0.336061,
-0.337096,
-0.338073,
-0.339097,
-0.340364,
-0.345154,
-0.378165,
-6.2188,
-17.2187
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000870579,
"miller_cap_rise": 0.000365554,
"output_voltage_fall": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.043606,
0.0845639,
0.1387499,
0.2044739,
0.319985
],
"values": [
4.95,
3.85,
2.75,
1.65,
0.55
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.0911079,
0.1315247,
0.1853,
0.2510964,
0.365355
],
"values": [
4.95,
3.85,
2.75,
1.65,
0.55
]
}
]
},
"output_voltage_rise": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0565623,
0.1270801,
0.2117766,
0.3186357,
0.5137858
],
"values": [
0.55,
1.65,
2.75,
3.85,
4.95
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.1092092,
0.1784363,
0.2631821,
0.3703249,
0.5651933
],
"values": [
0.55,
1.65,
2.75,
3.85,
4.95
]
}
]
},
"propagated_noise_high": {
"vector,ccsn_pnlh": [
{
"index_1": [
2.475
],
"index_2": [
0.96058
],
"index_3": [
0.001
],
"index_4": [
0.4261427,
0.495134,
0.5998943,
0.7293249,
0.8404523
],
"values": [
4.92165,
4.57465,
4.34331,
4.57465,
4.92165
]
},
{
"index_1": [
2.75
],
"index_2": [
0.785829
],
"index_3": [
0.001
],
"index_4": [
0.3555171,
0.411714,
0.5027304,
0.6227897,
0.7267864
],
"values": [
4.83222,
4.43155,
4.16444,
4.43155,
4.83222
]
},
{
"index_1": [
3.025
],
"index_2": [
0.660698
],
"index_3": [
0.001
],
"index_4": [
0.3050149,
0.3511978,
0.4297441,
0.5447319,
0.6456685
],
"values": [
4.7503,
4.30047,
4.00059,
4.30047,
4.7503
]
},
{
"index_1": [
2.475
],
"index_2": [
0.48029
],
"index_3": [
0.001
],
"index_4": [
0.2332526,
0.2650335,
0.3213714,
0.409096,
0.4999757
],
"values": [
5.10948,
4.87518,
4.71897,
4.87518,
5.10948
]
},
{
"index_1": [
2.75
],
"index_2": [
0.392914
],
"index_3": [
0.001
],
"index_4": [
0.1953862,
0.2221563,
0.2760013,
0.3522112,
0.4343101
],
"values": [
5.06948,
4.81116,
4.63895,
4.81116,
5.06948
]
},
{
"index_1": [
3.025
],
"index_2": [
0.330349
],
"index_3": [
0.001
],
"index_4": [
0.1677681,
0.1911529,
0.2358545,
0.3059754,
0.3843474
],
"values": [
5.03305,
4.75288,
4.5661,
4.75288,
5.03305
]
},
{
"index_1": [
2.75
],
"index_2": [
1.17874
],
"index_3": [
0.001
],
"index_4": [
0.519325,
0.6030166,
0.7428385,
0.8918151,
1.0133607
],
"values": [
4.64896,
4.13833,
3.79791,
4.13833,
4.64896
]
}
]
},
"propagated_noise_low": {
"vector,ccsn_pnlh": [
{
"index_1": [
2.475
],
"index_2": [
2.50913
],
"index_3": [
0.001
],
"index_4": [
1.0064135,
1.1878306,
1.318032,
1.523792,
1.7153729
],
"values": [
0.190436,
0.304697,
0.380871,
0.304697,
0.190436
]
},
{
"index_1": [
2.75
],
"index_2": [
1.95314
],
"index_3": [
0.001
],
"index_4": [
0.785379,
0.9290574,
1.0693867,
1.2212109,
1.3788764
],
"values": [
0.236166,
0.377866,
0.472333,
0.377866,
0.236166
]
},
{
"index_1": [
3.025
],
"index_2": [
1.57886
],
"index_3": [
0.001
],
"index_4": [
0.6421743,
0.7601868,
0.8557986,
1.0151224,
1.1487846
],
"values": [
0.28672,
0.458751,
0.573439,
0.458751,
0.28672
]
},
{
"index_1": [
2.475
],
"index_2": [
1.25456
],
"index_3": [
0.001
],
"index_4": [
0.5274301,
0.6142081,
0.6992252,
0.8197469,
0.9262445
],
"values": [
0.168244,
0.26919,
0.336487,
0.26919,
0.168244
]
},
{
"index_1": [
2.75
],
"index_2": [
0.976571
],
"index_3": [
0.001
],
"index_4": [
0.4145314,
0.4852449,
0.565056,
0.6642784,
0.7558855
],
"values": [
0.201127,
0.321803,
0.402253,
0.321803,
0.201127
]
},
{
"index_1": [
3.025
],
"index_2": [
0.789428
],
"index_3": [
0.001
],
"index_4": [
0.3407262,
0.3970223,
0.476529,
0.557502,
0.6380025
],
"values": [
0.233145,
0.373032,
0.46629,
0.373032,
0.233145
]
},
{
"index_1": [
2.75
],
"index_2": [
2.92971
],
"index_3": [
0.001
],
"index_4": [
1.1527946,
1.3779875,
1.5486975,
1.7641633,
1.9893773
],
"values": [
0.251558,
0.402493,
0.503116,
0.402493,
0.251558
]
}
]
},
"stage_type": "both"
},
{
"dc_current,ccsn_dc": {
"index_1": [
-5.5,
-2.75,
-1.1,
-0.55,
0,
0.275,
0.55,
0.825,
1.1,
1.375,
1.65,
1.925,
2.2,
2.475,
2.75,
3.025,
3.3,
3.575,
3.85,
4.125,
4.4,
4.675,
4.95,
5.225,
5.5,
6.05,
6.6,
8.25,
11
],
"index_2": [
-5.5,
-2.75,
-1.1,
-0.55,
0,
0.275,
0.55,
0.825,
1.1,
1.375,
1.65,
1.925,
2.2,
2.475,
2.75,
3.025,
3.3,
3.575,
3.85,
4.125,
4.4,
4.675,
4.95,
5.225,
5.5,
6.05,
6.6,
8.25,
11
],
"values": [
[
9.19797,
3.6617,
0.462568,
0.336994,
0.323169,
0.314197,
0.304973,
0.294968,
0.283936,
0.271973,
0.259201,
0.245494,
0.230567,
0.214338,
0.196846,
0.178124,
0.158233,
0.137311,
0.115716,
0.0947182,
0.0773948,
0.059729,
0.0409101,
0.020987,
-1.1243e-07,
-0.0439202,
-0.0994144,
-4.48394,
-12.6093
],
[
9.19552,
3.65921,
0.45449,
0.316292,
0.305895,
0.297444,
0.288102,
0.278371,
0.268115,
0.2573,
0.245806,
0.233379,
0.219745,
0.20483,
0.188628,
0.171144,
0.152415,
0.132566,
0.111948,
0.0917981,
0.07509,
0.0580043,
0.0397684,
0.0204227,
-9.64072e-08,
-0.0428366,
-0.0975643,
-4.48367,
-12.6091
],
[
9.19126,
3.65459,
0.440196,
0.269241,
0.263678,
0.259989,
0.2554,
0.250257,
0.244094,
0.236504,
0.227541,
0.217326,
0.205777,
0.192856,
0.178532,
0.16278,
0.145613,
0.127147,
0.107734,
0.0885883,
0.0725908,
0.0561585,
0.0385621,
0.0198339,
-8.98773e-08,
-0.0417384,
-0.09575,
-4.48341,
-12.6088
],
[
9.18934,
3.65241,
0.433965,
0.246702,
0.242272,
0.239512,
0.236182,
0.232501,
0.22837,
0.223331,
0.216835,
0.208418,
0.198221,
0.186486,
0.173243,
0.15847,
0.142169,
0.12445,
0.105668,
0.0870361,
0.0713946,
0.0552837,
0.0379958,
0.01956,
-8.39698e-08,
-0.0412386,
-0.0949192,
-4.48329,
-12.6087
],
[
9.18851,
3.65093,
0.428641,
0.222313,
0.218734,
0.216607,
0.214138,
0.211358,
0.208434,
0.205076,
0.200933,
0.195439,
0.187852,
0.177985,
0.166269,
0.152844,
0.137725,
0.12101,
0.103063,
0.0850959,
0.0699102,
0.0542056,
0.0373025,
0.0192268,
-7.44447e-08,
-0.04064,
-0.093923,
-4.48316,
-12.6086
],
[
9.18887,
3.65034,
0.426295,
0.209543,
0.206304,
0.204421,
0.202272,
0.199837,
0.19732,
0.194514,
0.19115,
0.186813,
0.180864,
0.172543,
0.161897,
0.14935,
0.134989,
0.118913,
0.10149,
0.0839335,
0.0690263,
0.0535675,
0.0368945,
0.0190317,
-1.97474e-07,
-0.0402944,
-0.093354,
-4.48308,
-12.6085
],
[
9.18919,
3.65023,
0.424231,
0.196426,
0.19348,
0.191804,
0.189919,
0.187782,
0.185585,
0.183206,
0.180427,
0.176949,
0.17233,
0.165822,
0.156685,
0.145222,
0.13177,
0.116456,
0.0996501,
0.0825742,
0.0679897,
0.0528131,
0.0364021,
0.0187798,
-3.37065e-05,
-0.0399449,
-0.0927589,
-4.483,
-12.6085
],
[
9.18942,
3.65028,
0.423653,
0.182123,
0.178651,
0.176869,
0.174936,
0.172799,
0.170612,
0.168353,
0.165819,
0.162785,
0.158971,
0.153889,
0.146662,
0.136644,
0.12429,
0.109917,
0.0939423,
0.0775156,
0.0633355,
0.0484962,
0.0323964,
0.0150582,
-0.00349521,
-0.0429841,
-0.0952104,
-4.48328,
-12.6087
],
[
9.18955,
3.65023,
0.422943,
0.166263,
0.153366,
0.150653,
0.148168,
0.145695,
0.143216,
0.140845,
0.138352,
0.135541,
0.13225,
0.128223,
0.122968,
0.115561,
0.105315,
0.0927432,
0.0782732,
0.0629477,
0.0493776,
0.0350169,
0.0193552,
0.00241486,
-0.0157187,
-0.0546767,
-0.105573,
-4.48449,
-12.6096
],
[
9.18954,
3.64999,
0.421839,
0.154704,
0.125621,
0.120221,
0.116705,
0.11377,
0.111041,
0.108548,
0.106114,
0.103514,
0.100642,
0.097358,
0.0934175,
0.0883446,
0.0812056,
0.0712477,
0.0589846,
0.0452739,
0.0325641,
0.0188811,
0.0038281,
-0.0125248,
-0.0300499,
-0.0682835,
-0.117809,
-4.48587,
-12.6108
],
[
9.18936,
3.64959,
0.420477,
0.144881,
0.103882,
0.0914638,
0.0848653,
0.0807588,
0.0775303,
0.0747329,
0.0722222,
0.0696992,
0.0670664,
0.0642306,
0.0610584,
0.0573247,
0.0525912,
0.0459686,
0.0366435,
0.0252657,
0.0137627,
0.00102698,
-0.0131448,
-0.0286402,
-0.0454178,
-0.0826864,
-0.130869,
-4.4873,
-12.6121
],
[
9.18904,
3.64909,
0.418962,
0.135735,
0.0879662,
0.0693441,
0.0560255,
0.0485184,
0.0439401,
0.040507,
0.0376743,
0.0350524,
0.032488,
0.029887,
0.0271548,
0.0241703,
0.0207432,
0.016515,
0.0106832,
0.00253929,
-0.00713456,
-0.0184529,
-0.0313555,
-0.045761,
-0.0616077,
-0.0975879,
-0.144421,
-4.48878,
-12.6134
],
[
9.18864,
3.64852,
0.417358,
0.126931,
0.0748962,
0.0527255,
0.0338935,
0.0199454,
0.0116895,
0.00669316,
0.00307848,
8.26832e-05,
-0.00260877,
-0.00515603,
-0.00766581,
-0.0102269,
-0.0129303,
-0.015897,
-0.019417,
-0.024042,
-0.0305918,
-0.039857,
-0.0510628,
-0.0640409,
-0.0786899,
-0.112929,
-0.158339,
-4.4903,
-12.6147
],
[
9.18816,
3.6479,
0.415704,
0.118337,
0.0633618,
0.03891,
0.0169213,
-0.00200748,
-0.0163677,
-0.0252586,
-0.0307285,
-0.0346524,
-0.0377712,
-0.0404684,
-0.0429351,
-0.0452794,
-0.0475627,
-0.049822,
-0.0521721,
-0.0548304,
-0.0582299,
-0.0640673,
-0.0728593,
-0.0838799,
-0.0969236,
-0.128807,
-0.172588,
-4.49185,
-12.616
],
[
9.18764,
3.64725,
0.414027,
0.109902,
0.0527816,
0.0267045,
0.00265289,
-0.0190204,
-0.0376669,
-0.0522042,
-0.0619386,
-0.0681977,
-0.0724062,
-0.0756098,
-0.0782696,
-0.0805963,
-0.0826792,
-0.0845453,
-0.086276,
-0.0880206,
-0.0899978,
-0.0927298,
-0.0978584,
-0.106013,
-0.116794,
-0.145457,
-0.187168,
-4.49342,
-12.6173
],
[
9.18709,
3.64658,
0.412348,
0.101622,
0.0428794,
0.0155678,
-0.00995065,
-0.0332864,
-0.054214,
-0.0723762,
-0.0873458,
-0.0985176,
-0.105429,
-0.109918,
-0.113189,
-0.115765,
-0.117861,
-0.119554,
-0.120956,
-0.12224,
-0.123577,
-0.125154,
-0.127466,
-0.131773,
-0.139158,
-0.163187,
-0.20211,
-4.495,
-12.6186
],
[
9.18653,
3.6459,
0.410689,
0.0935298,
0.0335362,
0.00524763,
-0.0213093,
-0.0457891,
-0.0681142,
-0.0881563,
-0.106156,
-0.122451,
-0.134478,
-0.142029,
-0.14683,
-0.15015,
-0.15256,
-0.154299,
-0.155581,
-0.156651,
-0.157675,
-0.158765,
-0.160053,
-0.162055,
-0.165523,
-0.18253,
-0.217469,
-4.4966,
-12.62
],
[
9.18596,
3.64523,
0.409071,
0.0856985,
0.0247374,
-0.00433698,
-0.0316347,
-0.0569459,
-0.0802265,
-0.101408,
-0.120968,
-0.140059,
-0.15671,
-0.169229,
-0.177409,
-0.182545,
-0.185849,
-0.187977,
-0.189387,
-0.190442,
-0.191351,
-0.192223,
-0.193135,
-0.194206,
-0.195923,
-0.204439,
-0.233334,
-4.49818,
-12.6213
],
[
9.1854,
3.64457,
0.40753,
0.0782656,
0.0165674,
-0.0131035,
-0.0409583,
-0.0668901,
-0.0908596,
-0.112812,
-0.133319,
-0.15389,
-0.172984,
-0.189325,
-0.20194,
-0.210539,
-0.215906,
-0.219142,
-0.22112,
-0.222437,
-0.223433,
-0.224279,
-0.225064,
-0.225856,
-0.226809,
-0.230317,
-0.249868,
-4.49974,
-12.6225
],
[
9.18487,
3.64397,
0.406121,
0.0714865,
0.00924602,
-0.0208573,
-0.049134,
-0.0755318,
-0.100009,
-0.12251,
-0.143655,
-0.165144,
-0.185559,
-0.203858,
-0.219303,
-0.231293,
-0.239725,
-0.245188,
-0.248531,
-0.2506,
-0.252,
-0.253054,
-0.253922,
-0.254695,
-0.255444,
-0.257531,
-0.267345,
-4.50121,
-12.6236
],
[
9.18443,
3.64346,
0.404955,
0.0658719,
0.00325159,
-0.027148,
-0.0557362,
-0.082479,
-0.10733,
-0.130227,
-0.151821,
-0.173928,
-0.195159,
-0.214515,
-0.231331,
-0.245099,
-0.255746,
-0.263537,
-0.268757,
-0.272048,
-0.27419,
-0.275702,
-0.276858,
-0.277805,
-0.278631,
-0.28044,
-0.285719,
-4.50248,
-12.6245
],
[
9.18422,
3.64322,
0.404399,
0.0630874,
0.000171987,
-0.0304244,
-0.0592368,
-0.0862301,
-0.111353,
-0.134537,
-0.156449,
-0.178974,
-0.200721,
-0.220687,
-0.238193,
-0.252763,
-0.264449,
-0.273514,
-0.279969,
-0.284194,
-0.286964,
-0.288898,
-0.290347,
-0.291501,
-0.292475,
-0.294424,
-0.299299,
-4.50343,
-12.6249
],
[
9.1843,
3.64329,
0.404524,
0.063325,
4.10451e-07,
-0.030804,
-0.0598453,
-0.0870855,
-0.112469,
-0.135924,
-0.158124,
-0.180998,
-0.203147,
-0.223556,
-0.241518,
-0.256551,
-0.268772,
-0.278449,
-0.285507,
-0.29023,
-0.293377,
-0.295598,
-0.297274,
-0.298616,
-0.299751,
-0.302004,
-0.306999,
-4.50424,
-12.6252
],
[
9.1844,
3.64337,
0.404675,
0.0637066,
2.33912e-08,
-0.0309944,
-0.0602423,
-0.0877027,
-0.113317,
-0.137007,
-0.159454,
-0.182622,
-0.205103,
-0.225861,
-0.244161,
-0.259515,
-0.272088,
-0.282154,
-0.289587,
-0.294616,
-0.297993,
-0.300388,
-0.302201,
-0.303656,
-0.304888,
-0.307309,
-0.31227,
-4.50473,
-12.6255
],
[
9.18448,
3.64345,
0.40481,
0.0640437,
2.33867e-08,
-0.0311614,
-0.0605901,
-0.0882422,
-0.114055,
-0.137948,
-0.160605,
-0.184021,
-0.206776,
-0.227816,
-0.246377,
-0.261968,
-0.274795,
-0.28514,
-0.292836,
-0.298077,
-0.301611,
-0.304123,
-0.306027,
-0.307555,
-0.308848,
-0.311365,
-0.315596,
-4.50497,
-12.6257
],
[
9.18461,
3.64357,
0.405036,
0.0646087,
2.5701e-08,
-0.0314398,
-0.0611684,
-0.0891365,
-0.115275,
-0.139496,
-0.162492,
-0.186301,
-0.209483,
-0.230951,
-0.24989,
-0.265809,
-0.278991,
-0.289721,
-0.297781,
-0.303314,
-0.307061,
-0.309729,
-0.311751,
-0.313374,
-0.314745,
-0.317366,
-0.320586,
-4.50548,
-12.626
],
[
9.18472,
3.64367,
0.405217,
0.0650588,
3.30889e-08,
-0.0316604,
-0.0616255,
-0.0898415,
-0.116234,
-0.140708,
-0.163962,
-0.188068,
-0.211567,
-0.233343,
-0.252542,
-0.268682,
-0.282104,
-0.293098,
-0.301408,
-0.307142,
-0.311035,
-0.313808,
-0.31591,
-0.317595,
-0.319016,
-0.321697,
-0.324988,
-4.50597,
-12.6265
],
[
9.18495,
3.64387,
0.405582,
0.0659553,
1.69361e-07,
-0.0320959,
-0.0625276,
-0.0912305,
-0.118118,
-0.143082,
-0.16683,
-0.191497,
-0.215585,
-0.237915,
-0.257556,
-0.274064,
-0.287903,
-0.29936,
-0.308115,
-0.31421,
-0.318367,
-0.32133,
-0.323574,
-0.325369,
-0.326878,
-0.329647,
-0.333095,
-4.50687,
-12.6273
],
[
9.18512,
3.64402,
0.405863,
0.0666312,
1.92128e-06,
-0.0324166,
-0.0632017,
-0.0922783,
-0.119549,
-0.144891,
-0.169019,
-0.194115,
-0.218647,
-0.24138,
-0.261329,
-0.278094,
-0.292235,
-0.30403,
-0.313117,
-0.319486,
-0.323843,
-0.32695,
-0.329301,
-0.331179,
-0.332755,
-0.335583,
-0.339149,
-4.50755,
-12.6279
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000151456,
"miller_cap_rise": 0.000110754,
"output_voltage_fall": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0746271,
0.1227508,
0.1743956,
0.2401503,
0.355804
],
"values": [
4.95,
3.85,
2.75,
1.65,
0.55
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.1208723,
0.1694023,
0.2209577,
0.2864439,
0.4021127
],
"values": [
4.95,
3.85,
2.75,
1.65,
0.55
]
}
]
},
"output_voltage_rise": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0808795,
0.1572231,
0.2425242,
0.3580748,
0.5611309
],
"values": [
0.55,
1.65,
2.75,
3.85,
4.95
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.1323259,
0.2092836,
0.2937846,
0.4095165,
0.6116401
],
"values": [
0.55,
1.65,
2.75,
3.85,
4.95
]
}
]
},
"propagated_noise_high": {
"vector,ccsn_pnlh": [
{
"index_1": [
2.475
],
"index_2": [
1.65364
],
"index_3": [
0.001
],
"index_4": [
0.7431273,
0.867176,
1.0313276,
1.2199985,
1.3708871
],
"values": [
4.757,
4.31121,
4.01401,
4.31121,
4.757
]
},
{
"index_1": [
2.75
],
"index_2": [
1.33614
],
"index_3": [
0.001
],
"index_4": [
0.6231025,
0.7224828,
0.848449,
1.0396623,
1.1762112
],
"values": [
4.58754,
4.04007,
3.67509,
4.04007,
4.58754
]
},
{
"index_1": [
3.025
],
"index_2": [
1.10533
],
"index_3": [
0.001
],
"index_4": [
0.5344941,
0.6151322,
0.7736498,
0.9053086,
1.033642
],
"values": [
4.43448,
3.79517,
3.36896,
3.79517,
4.43448
]
},
{
"index_1": [
2.475
],
"index_2": [
0.826821
],
"index_3": [
0.001
],
"index_4": [
0.4056428,
0.4656956,
0.5771597,
0.6903804,
0.7945948
],
"values": [
4.98148,
4.67037,
4.46296,
4.67037,
4.98148
]
},
{
"index_1": [
2.75
],
"index_2": [
0.668072
],
"index_3": [
0.001
],
"index_4": [
0.3390619,
0.3909177,
0.4863427,
0.5921242,
0.6905213
],
"values": [
4.91841,
4.56945,
4.33682,
4.56945,
4.91841
]
},
{
"index_1": [
3.025
],
"index_2": [
0.552663
],
"index_3": [
0.001
],
"index_4": [
0.2888199,
0.3354481,
0.4105352,
0.5216929,
0.6127527
],
"values": [
4.86517,
4.48428,
4.23035,
4.48428,
4.86517
]
},
{
"index_1": [
2.75
],
"index_2": [
2.00422
],
"index_3": [
0.001
],
"index_4": [
0.9269353,
1.0635103,
1.2736808,
1.4771814,
1.6498405
],
"values": [
4.31458,
3.60333,
3.12916,
3.60333,
4.31458
]
}
]
},
"propagated_noise_low": {
"vector,ccsn_pnlh": [
{
"index_1": [
2.49909
],
"index_2": [
4.1527
],
"index_3": [
0.001
],
"index_4": [
1.6504842,
1.9611424,
2.212968,
2.4628783,
2.7708881
],
"values": [
0.205048,
0.328076,
0.410095,
0.328076,
0.205048
]
},
{
"index_1": [
3.07884
],
"index_2": [
2.53624
],
"index_3": [
0.001
],
"index_4": [
1.0410985,
1.2316559,
1.3781952,
1.5809256,
1.7804408
],
"values": [
0.330904,
0.529446,
0.661807,
0.529446,
0.330904
]
},
{
"index_1": [
3.56505
],
"index_2": [
1.84413
],
"index_3": [
0.001
],
"index_4": [
0.8099156,
0.9366084,
1.0531015,
1.1985093,
1.3423129
],
"values": [
0.507215,
0.811544,
1.01443,
0.811544,
0.507215
]
},
{
"index_1": [
2.49909
],
"index_2": [
2.07635
],
"index_3": [
0.001
],
"index_4": [
0.8620333,
1.0156538,
1.1561884,
1.3152025,
1.4779988
],
"values": [
0.18914,
0.302623,
0.378279,
0.302623,
0.18914
]
},
{
"index_1": [
3.07884
],
"index_2": [
1.26812
],
"index_3": [
0.001
],
"index_4": [
0.5466479,
0.6429561,
0.7210405,
0.8698919,
0.9840682
],
"values": [
0.280888,
0.449421,
0.561777,
0.449421,
0.280888
]
},
{
"index_1": [
3.56505
],
"index_2": [
0.922064
],
"index_3": [
0.001
],
"index_4": [
0.4206607,
0.4848238,
0.5715777,
0.6719063,
0.7644207
],
"values": [
0.374436,
0.599097,
0.748871,
0.599097,
0.374436
]
},
{
"index_1": [
3.07884
],
"index_2": [
3.80437
],
"index_3": [
0.001
],
"index_4": [
1.5270432,
1.8152938,
2.0472539,
2.280053,
2.5619585
],
"values": [
0.351527,
0.562444,
0.703055,
0.562444,
0.351527
]
}
]
},
"stage_type": "both"
}
],
"clock": "false",
"direction": "input",
"fall_capacitance": 0.002415,
"input_voltage": "GENERAL",
"internal_power": {
"fall_power,hidden_pwr_template11": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.0399108,
0.0394285,
0.038906,
0.038971,
0.0391745,
0.0391305,
0.0390426,
0.0391806,
0.0395654,
0.0397652,
0.039965
]
},
"rise_power,hidden_pwr_template11": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
-0.0385093,
-0.0379572,
-0.0373591,
-0.0375129,
-0.0379946,
-0.0388175,
-0.0404632,
-0.0404634,
-0.0404637,
-0.040464,
-0.0404642
]
}
},
"max_transition": 3.75,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.002924,
"timing": [
{
"fall_constraint,vio_11_11_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"values": [
[
0.2229473,
0.2227129,
0.2289694,
0.2358021,
0.2769349,
0.3508411,
0.488888,
0.6196107,
0.9431817,
1.0986179,
1.2491714
],
[
0.2207402,
0.2217266,
0.2279831,
0.2348158,
0.2759486,
0.3486341,
0.4879017,
0.6186244,
0.9421954,
1.0976315,
1.248185
],
[
0.2193665,
0.2191321,
0.2253886,
0.2322213,
0.2733542,
0.3472604,
0.4853073,
0.6160299,
0.9383802,
1.0950371,
1.2455906
],
[
0.2088717,
0.2086374,
0.2148939,
0.2217266,
0.2628594,
0.3355449,
0.4735918,
0.6030937,
0.9266647,
1.0821009,
1.233875
],
[
0.192153,
0.1919186,
0.1981751,
0.2037871,
0.2449199,
0.3127227,
0.444666,
0.574168,
0.8952975,
1.0519545,
1.2012872
],
[
0.1756198,
0.1790475,
0.1816419,
0.1872539,
0.2296074,
0.2986309,
0.4244707,
0.5466484,
0.8616745,
1.019552,
1.1713263
],
[
0.1437741,
0.1484225,
0.1510169,
0.1566289,
0.1989824,
0.2655645,
0.3975078,
0.5086992,
0.8200632,
0.9754994,
1.1284942
],
[
0.1155905,
0.1202389,
0.1228333,
0.1284453,
0.1707988,
0.2386016,
0.3693242,
0.4866191,
0.7808932,
0.9387709,
1.0917657
],
[
0.0483672,
0.0530156,
0.05561,
0.061222,
0.0986927,
0.1640541,
0.293556,
0.4132923,
0.7087871,
0.8593405,
1.0111147
],
[
0.0186634,
0.0233118,
0.0271269,
0.0290768,
0.0665475,
0.1294674,
0.2577487,
0.3750436,
0.6851868,
0.828416,
0.9740866
],
[
-0.0085991,
-0.0039506,
0.0010852,
0.0030351,
0.0368436,
0.0985429,
0.223162,
0.3453398,
0.6579244,
0.8023743,
0.9431622
]
]
},
"related_pin": "GATE",
"rise_constraint,vio_11_11_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"values": [
[
0.1277324,
0.1311602,
0.1300925,
0.1320423,
0.145099,
0.1628529,
0.1751673,
0.1642884,
0.0789238,
0.020737,
0.0699721
],
[
0.1255254,
0.1289531,
0.1291061,
0.1298353,
0.1428919,
0.1606459,
0.1729603,
0.1633021,
0.0791582,
0.0209714,
0.0702065
],
[
0.1241517,
0.1263587,
0.1265117,
0.1284616,
0.1402975,
0.1580514,
0.1715866,
0.1607077,
0.0777845,
0.0769707,
0.0700535
],
[
0.1099948,
0.1134225,
0.1123548,
0.1143047,
0.1261406,
0.1451152,
0.1574297,
0.1477715,
0.0636276,
0.0066615,
0.0546759
],
[
0.0639792,
0.0674069,
0.0663392,
0.0682891,
0.0813457,
0.1003203,
0.1138555,
0.1041973,
0.0237155,
-0.0344714,
0.0172052
],
[
0.0047214,
0.0069284,
0.0070814,
0.0090313,
0.0233086,
0.0435039,
0.0582598,
0.0498223,
-0.0306595,
-0.0912878,
-0.0249628
],
[
-0.0844974,
-0.0810697,
-0.0821374,
-0.0801875,
-0.0659102,
-0.0457148,
-0.0297383,
-0.0393965,
-0.1149954,
-0.1707409,
-0.2350312
],
[
-0.1566263,
-0.1531986,
-0.1530456,
-0.1523164,
-0.1404805,
-0.1215059,
-0.1043086,
-0.1115254,
-0.1871244,
-0.2428698,
-0.1728827
],
[
-0.3215058,
-0.3180781,
-0.3191458,
-0.317196,
-0.30536,
-0.2900475,
-0.2765124,
-0.2812878,
-0.3544453,
-0.4077493,
-0.3231138
],
[
-0.3975964,
-0.3929479,
-0.3940156,
-0.3920658,
-0.3814505,
-0.3685795,
-0.3526029,
-0.3598197,
-0.4305358,
-0.4862812,
-0.5469094
],
[
-0.4675834,
-0.4641557,
-0.4664441,
-0.4644942,
-0.453879,
-0.4397872,
-0.4250313,
-0.4334689,
-0.5017436,
-0.5562683,
-0.4350117
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "setup_falling",
"violation_delay_degrade_pct": 10
},
{
"fall_constraint,vio_11_11_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"values": [
[
-0.1896504,
-0.189416,
-0.1932311,
-0.2037259,
-0.2497415,
-0.3273099,
-0.472681,
-0.6070657,
-0.9367402,
-1.0933971,
-1.2439507
],
[
-0.188664,
-0.1884297,
-0.1922448,
-0.2027396,
-0.2487552,
-0.3263236,
-0.4716946,
-0.6060794,
-0.9357539,
-1.0924108,
-1.2429642
],
[
-0.1860696,
-0.1858353,
-0.1896504,
-0.2001452,
-0.2461608,
-0.3237292,
-0.4691003,
-0.603485,
-0.9331595,
-1.0898164,
-1.2403699
],
[
-0.1743541,
-0.1741198,
-0.1779349,
-0.1896504,
-0.2344453,
-0.3120137,
-0.4561641,
-0.5917695,
-0.9202233,
-1.0768802,
-1.2274337
],
[
-0.1454284,
-0.1476354,
-0.1502298,
-0.1607246,
-0.2067402,
-0.2830879,
-0.4260176,
-0.5604024,
-0.8876354,
-1.0467338,
-1.1972872
],
[
-0.1166881,
-0.1176745,
-0.1190482,
-0.1307637,
-0.1767793,
-0.253127,
-0.3972773,
-0.5304414,
-0.8564538,
-1.0094486,
-1.1661056
],
[
-0.0726354,
-0.0736217,
-0.0762162,
-0.0867109,
-0.1315059,
-0.2078535,
-0.3520039,
-0.4815059,
-0.8099596,
-0.9702787,
-1.1220529
],
[
-0.0359069,
-0.0368932,
-0.0382669,
-0.0499824,
-0.0923359,
-0.1686836,
-0.312834,
-0.4447773,
-0.7707897,
-0.9323294,
-1.086545
],
[
0.0569512,
0.0547442,
0.0533704,
0.0440964,
0.0017428,
-0.0709427,
-0.2150931,
-0.3519193,
-0.6889179,
-0.849237,
-1.0046733
],
[
0.1013034,
0.1003171,
0.0989433,
0.0896693,
0.0473158,
-0.0265905,
-0.1719616,
-0.3075671,
-0.6482279,
-0.8109883,
-0.9664246
],
[
0.1480971,
0.1458901,
0.1457371,
0.1340216,
0.0916681,
0.0177618,
-0.1276093,
-0.2656562,
-0.6087584,
-0.7715188,
-0.9293965
]
]
},
"related_pin": "GATE",
"rise_constraint,vio_11_11_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"values": [
[
-0.1151875,
-0.1173945,
-0.1175475,
-0.1194974,
-0.1313333,
-0.1503079,
-0.1638431,
-0.1541849,
-0.0712617,
-0.0118542,
0.0536568
],
[
-0.1129805,
-0.1151875,
-0.1153405,
-0.1172904,
-0.130347,
-0.1493216,
-0.1628568,
-0.1531986,
-0.0702754,
-0.0108679,
0.0558638
],
[
-0.110386,
-0.1125931,
-0.1139668,
-0.1159167,
-0.1277526,
-0.1467272,
-0.1602624,
-0.1506042,
-0.0689017,
-0.0082735,
0.0572375
],
[
-0.0974499,
-0.0996569,
-0.0998099,
-0.1017598,
-0.1135957,
-0.1325703,
-0.1473262,
-0.137668,
-0.0559655,
0.003442,
0.068953
],
[
-0.0502135,
-0.0524206,
-0.0525736,
-0.0545234,
-0.0675801,
-0.0877754,
-0.1013105,
-0.0916523,
-0.013612,
0.0457956,
0.1100858
],
[
0.0090443,
0.0068373,
0.0066842,
0.0047344,
-0.0107637,
-0.030959,
-0.0457148,
-0.038498,
0.040763,
0.1001706,
0.1669022
],
[
0.098263,
0.096056,
0.0946823,
0.0939531,
0.0796758,
0.0594805,
0.0422832,
0.0495,
0.1275404,
0.1832858,
0.2475761
],
[
0.1703919,
0.1681849,
0.1680319,
0.166082,
0.1530254,
0.1340508,
0.1168535,
0.1240703,
0.1984486,
0.254194,
0.3184843
],
[
0.3377129,
0.3355059,
0.3353528,
0.333403,
0.3215671,
0.3050338,
0.2890573,
0.2938327,
0.3657695,
0.4215149,
0.4833638
],
[
0.4150241,
0.4128171,
0.4126641,
0.4107142,
0.3988782,
0.3823451,
0.3651478,
0.3699232,
0.44186,
0.4976055,
0.5594543
],
[
0.4874526,
0.4852456,
0.4850925,
0.4831427,
0.4713067,
0.4547735,
0.4412384,
0.4447931,
0.5130678,
0.5688132,
0.6294414
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "hold_falling",
"violation_delay_degrade_pct": 10
}
]
},
"pin,GATE": {
"capacitance": 0.002832,
"ccsn_first_stage": {
"dc_current,ccsn_dc": {
"index_1": [
-5.5,
-2.75,
-1.1,
-0.55,
0,
0.275,
0.55,
0.825,
1.1,
1.375,
1.65,
1.925,
2.2,
2.475,
2.75,
3.025,
3.3,
3.575,
3.85,
4.125,
4.4,
4.675,
4.95,
5.225,
5.5,
6.05,
6.6,
8.25,
11
],
"index_2": [
-5.5,
-2.75,
-1.1,
-0.55,
0,
0.275,
0.55,
0.825,
1.1,
1.375,
1.65,
1.925,
2.2,
2.475,
2.75,
3.025,
3.3,
3.575,
3.85,
4.125,
4.4,
4.675,
4.95,
5.225,
5.5,
6.05,
6.6,
8.25,
11
],
"values": [
[
12.0417,
5.14654,
1.14593,
0.91748,
0.893767,
0.879197,
0.861946,
0.840894,
0.814418,
0.781388,
0.743476,
0.702865,
0.660242,
0.615736,
0.569365,
0.521119,
0.47098,
0.418933,
0.36496,
0.309048,
0.251182,
0.191352,
0.129549,
0.0657666,
-1.40055e-08,
-0.133382,
-0.268601,
-1.72854,
-4.76885
],
[
11.9027,
4.95792,
0.948173,
0.655634,
0.643938,
0.637286,
0.629933,
0.621698,
0.612323,
0.601423,
0.588387,
0.572195,
0.55111,
0.523094,
0.489135,
0.451813,
0.411886,
0.369447,
0.324484,
0.276966,
0.22686,
0.174135,
0.118765,
0.0607263,
-7.06156e-09,
-0.123908,
-0.251445,
-1.72658,
-4.76715
],
[
11.8341,
4.85104,
0.831152,
0.495787,
0.487834,
0.4835,
0.478825,
0.47373,
0.468117,
0.461854,
0.454752,
0.446526,
0.436725,
0.424578,
0.408664,
0.386572,
0.357405,
0.323858,
0.287103,
0.24725,
0.204265,
0.158096,
0.108692,
0.0560064,
-5.9464e-09,
-0.11523,
-0.236249,
-1.72493,
-4.76572
],
[
11.8137,
4.81766,
0.792982,
0.442751,
0.43568,
0.431924,
0.427909,
0.423569,
0.41883,
0.413595,
0.407732,
0.401049,
0.393253,
0.383865,
0.372037,
0.356146,
0.333595,
0.304398,
0.271164,
0.234557,
0.194595,
0.151218,
0.104364,
0.0539751,
-5.9323e-09,
-0.111552,
-0.229955,
-1.72427,
-4.76515
],
[
11.7933,
4.78835,
0.759362,
0.390084,
0.383644,
0.380387,
0.376949,
0.373266,
0.369278,
0.364913,
0.360078,
0.354643,
0.348412,
0.341084,
0.332149,
0.320669,
0.304773,
0.28183,
0.252866,
0.219983,
0.183476,
0.143299,
0.0993749,
0.0516306,
-6.37605e-09,
-0.107349,
-0.222867,
-1.72354,
-4.76452
],
[
11.7823,
4.77441,
0.74471,
0.367846,
0.357704,
0.354669,
0.351492,
0.348106,
0.344455,
0.340478,
0.336094,
0.331197,
0.325627,
0.319142,
0.311347,
0.301529,
0.288277,
0.268952,
0.242655,
0.211862,
0.177274,
0.138876,
0.0965854,
0.0503183,
-2.55183e-07,
-0.105016,
-0.218981,
-1.72315,
-4.76419
],
[
11.7706,
4.76069,
0.73066,
0.355032,
0.331833,
0.329001,
0.326065,
0.322955,
0.319618,
0.315998,
0.312029,
0.30762,
0.302643,
0.296904,
0.290091,
0.281666,
0.270581,
0.254672,
0.231561,
0.20306,
0.170535,
0.134049,
0.0935143,
0.0488365,
-7.10011e-05,
-0.102604,
-0.214977,
-1.72309,
-4.76539
],
[
11.758,
4.74708,
0.717001,
0.345524,
0.30561,
0.302284,
0.299276,
0.296162,
0.292867,
0.289334,
0.285503,
0.281298,
0.276612,
0.271291,
0.26509,
0.257597,
0.248039,
0.234795,
0.215028,
0.188821,
0.158188,
0.123362,
0.0842299,
0.0406464,
-0.00753159,
-0.109766,
-0.224276,
-1.75506,
-4.89548
],
[
11.7443,
4.73351,
0.70363,
0.336609,
0.277958,
0.266902,
0.262166,
0.25825,
0.254472,
0.250634,
0.246627,
0.242359,
0.237728,
0.232599,
0.226775,
0.219936,
0.211505,
0.200307,
0.18385,
0.160283,
0.131584,
0.0983502,
0.0604136,
0.0175314,
-0.030553,
-0.134816,
-0.255578,
-1.82708,
-5.13459
],
[
11.7294,
4.7199,
0.690486,
0.32706,
0.251016,
0.228679,
0.219968,
0.21489,
0.210627,
0.20659,
0.202556,
0.198391,
0.193984,
0.189211,
0.183905,
0.177815,
0.170507,
0.161134,
0.147826,
0.127694,
0.101491,
0.0703829,
0.0342067,
-0.00736935,
-0.0547055,
-0.159498,
-0.28464,
-1.88878,
-5.31883
],
[
11.7131,
4.70621,
0.67752,
0.316285,
0.224827,
0.191945,
0.176839,
0.17001,
0.165172,
0.160946,
0.156919,
0.152893,
0.148738,
0.144331,
0.139531,
0.134135,
0.127815,
0.119959,
0.109247,
0.0928797,
0.0696295,
0.0409982,
0.00695227,
-0.0329205,
-0.0790821,
-0.183481,
-0.311983,
-1.94565,
-5.4844
],
[
11.6951,
4.69235,
0.664686,
0.304167,
0.199269,
0.157294,
0.134402,
0.124818,
0.119201,
0.114738,
0.110703,
0.106809,
0.102893,
0.0988295,
0.0944914,
0.0897145,
0.0842474,
0.0776437,
0.0689878,
0.0561458,
0.0363739,
0.0105553,
-0.0210168,
-0.0588174,
-0.103409,
-0.206576,
-0.337454,
-1.9983,
-5.6356
],
[
11.6753,
4.67827,
0.651933,
0.290855,
0.174272,
0.124526,
0.093656,
0.0799212,
0.0731693,
0.0683669,
0.064283,
0.0604926,
0.0567885,
0.0530341,
0.0491095,
0.0448779,
0.0401447,
0.0345825,
0.027554,
0.0176033,
0.00171724,
-0.0209156,
-0.0496612,
-0.0850128,
-0.127633,
-0.228739,
-0.360118,
-2.04699,
-5.77366
],
[
11.6535,
4.66389,
0.639203,
0.276577,
0.149816,
0.0934025,
0.0551239,
0.0358175,
0.0273604,
0.022057,
0.0178569,
0.0141299,
0.0106031,
0.00711937,
0.00355992,
-0.000193851,
-0.00429466,
-0.00898422,
-0.0147096,
-0.0224339,
-0.0345149,
-0.0535118,
-0.0790553,
-0.11156,
-0.151786,
-0.249987,
-0.380609,
-2.09192,
-5.89961
],
[
11.6293,
4.64918,
0.626443,
0.261579,
0.125926,
0.0637603,
0.0188883,
-0.0069449,
-0.0179541,
-0.0239995,
-0.0284096,
-0.0321258,
-0.0355158,
-0.0387689,
-0.0420101,
-0.0453471,
-0.0489028,
-0.0528572,
-0.0575258,
-0.0635475,
-0.0724615,
-0.0873882,
-0.10932,
-0.138549,
-0.175928,
-0.270352,
-0.399248,
-2.13321,
-6.01432
],
[
11.6027,
4.63408,
0.613617,
0.246114,
0.10268,
0.0355281,
-0.0151473,
-0.0477617,
-0.0624386,
-0.0695789,
-0.074326,
-0.0780967,
-0.0813961,
-0.0844603,
-0.0874285,
-0.0904047,
-0.0934916,
-0.0968248,
-0.100628,
-0.105331,
-0.111885,
-0.122738,
-0.140604,
-0.16609,
-0.200126,
-0.289853,
-0.416038,
-2.17123,
-6.11865
],
[
11.5734,
4.61861,
0.600756,
0.230473,
0.0802296,
0.0087415,
-0.047042,
-0.0860866,
-0.105632,
-0.114377,
-0.119633,
-0.12354,
-0.126801,
-0.12972,
-0.132459,
-0.135127,
-0.137813,
-0.140622,
-0.143715,
-0.147383,
-0.152222,
-0.159705,
-0.173079,
-0.194305,
-0.224448,
-0.308495,
-0.430951,
-2.20621,
-6.21349
],
[
11.5415,
4.60286,
0.588008,
0.215033,
0.058842,
-0.0164219,
-0.0767105,
-0.121521,
-0.146884,
-0.157947,
-0.163949,
-0.168093,
-0.171376,
-0.174196,
-0.176752,
-0.17916,
-0.181508,
-0.183878,
-0.186389,
-0.189241,
-0.192821,
-0.197957,
-0.206927,
-0.223337,
-0.248964,
-0.326265,
-0.443912,
-2.23831,
-6.29949
],
[
11.5074,
4.5871,
0.575713,
0.200339,
0.0389769,
-0.0395714,
-0.103837,
-0.153697,
-0.1853,
-0.199608,
-0.206678,
-0.211189,
-0.214564,
-0.217337,
-0.219755,
-0.221954,
-0.224021,
-0.226031,
-0.22807,
-0.230284,
-0.232935,
-0.236524,
-0.242185,
-0.253343,
-0.273748,
-0.343125,
-0.454763,
-2.2677,
-6.37724
],
[
11.4722,
4.572,
0.564514,
0.187266,
0.021425,
-0.0599706,
-0.127744,
-0.182007,
-0.219691,
-0.238283,
-0.246868,
-0.251913,
-0.255465,
-0.258247,
-0.260576,
-0.262615,
-0.264461,
-0.266184,
-0.267856,
-0.269584,
-0.271561,
-0.274131,
-0.277907,
-0.284473,
-0.298881,
-0.359016,
-0.463214,
-2.29457,
-6.44733
],
[
11.439,
4.55883,
0.555566,
0.177267,
0.00753249,
-0.0763211,
-0.147181,
-0.205291,
-0.248486,
-0.272281,
-0.282979,
-0.288776,
-0.292606,
-0.295461,
-0.297753,
-0.299684,
-0.301368,
-0.30288,
-0.304283,
-0.305665,
-0.30718,
-0.309102,
-0.311877,
-0.316207,
-0.324464,
-0.373884,
-0.469448,
-2.31914,
-6.5103
],
[
11.4165,
4.55136,
0.551695,
0.173743,
0.000453113,
-0.0855393,
-0.159121,
-0.220606,
-0.268584,
-0.298103,
-0.311667,
-0.318506,
-0.322745,
-0.325755,
-0.32808,
-0.329975,
-0.331579,
-0.332977,
-0.334236,
-0.335435,
-0.336712,
-0.338312,
-0.34064,
-0.34431,
-0.350172,
-0.387957,
-0.47448,
-2.34166,
-6.56665
],
[
11.4158,
4.55242,
0.553806,
0.177125,
1.18031e-06,
-0.0880862,
-0.164283,
-0.228941,
-0.281206,
-0.316659,
-0.334128,
-0.342557,
-0.347525,
-0.350936,
-0.35351,
-0.355575,
-0.357302,
-0.358792,
-0.360117,
-0.361355,
-0.362631,
-0.36417,
-0.366357,
-0.369784,
-0.375286,
-0.402661,
-0.479085,
-2.36232,
-6.61681
],
[
11.4186,
4.55541,
0.556251,
0.180715,
6.80257e-09,
-0.0900113,
-0.168614,
-0.236176,
-0.292227,
-0.333301,
-0.355586,
-0.366143,
-0.372062,
-0.375985,
-0.378877,
-0.38116,
-0.383046,
-0.384659,
-0.386078,
-0.387381,
-0.388681,
-0.390185,
-0.39225,
-0.395444,
-0.400589,
-0.421663,
-0.48434,
-2.38127,
-6.66114
],
[
11.4212,
4.55707,
0.558516,
0.183991,
6.30776e-09,
-0.0917505,
-0.172543,
-0.24275,
-0.302152,
-0.348258,
-0.376035,
-0.389386,
-0.396524,
-0.401074,
-0.404344,
-0.40688,
-0.40895,
-0.410702,
-0.412229,
-0.413609,
-0.414949,
-0.416432,
-0.418389,
-0.421358,
-0.426135,
-0.445694,
-0.494489,
-2.39871,
-6.69998
],
[
11.4255,
4.5587,
0.562566,
0.189722,
1.01311e-08,
-0.0947554,
-0.179373,
-0.254208,
-0.319314,
-0.373592,
-0.41277,
-0.434149,
-0.444904,
-0.451207,
-0.455475,
-0.458655,
-0.461178,
-0.463268,
-0.465057,
-0.466636,
-0.468098,
-0.469592,
-0.471387,
-0.473949,
-0.478004,
-0.49502,
-0.535468,
-2.43076,
-6.76306
],
[
11.4291,
4.56241,
0.566066,
0.194526,
2.21761e-08,
-0.0972369,
-0.185062,
-0.263797,
-0.33361,
-0.394098,
-0.44291,
-0.475005,
-0.491786,
-0.50089,
-0.506638,
-0.510718,
-0.513848,
-0.51638,
-0.518506,
-0.520346,
-0.521995,
-0.523575,
-0.525297,
-0.527544,
-0.530948,
-0.545349,
-0.581087,
-2.45911,
-6.80863
],
[
11.4367,
4.56659,
0.574019,
0.204834,
2.44551e-07,
-0.102435,
-0.197198,
-0.284514,
-0.364587,
-0.437538,
-0.503241,
-0.56079,
-0.607151,
-0.63798,
-0.655421,
-0.665708,
-0.672526,
-0.677495,
-0.681366,
-0.684525,
-0.687192,
-0.68951,
-0.691604,
-0.693648,
-0.695952,
-0.703897,
-0.724908,
-2.52745,
-6.85271
],
[
11.4441,
4.57178,
0.581584,
0.213542,
3.11587e-06,
-0.106633,
-0.207541,
-0.30285,
-0.392687,
-0.477176,
-0.556429,
-0.630527,
-0.69947,
-0.763062,
-0.820547,
-0.869803,
-0.907181,
-0.931754,
-0.947404,
-0.958033,
-0.965827,
-0.971896,
-0.976839,
-0.980999,
-0.984596,
-0.990836,
-0.998264,
-2.64693,
-6.71502
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000891177,
"miller_cap_rise": 0.000697136,
"output_voltage_fall": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0253091,
0.0446001,
0.0655004,
0.0877629,
0.1194223
],
"values": [
4.95,
3.85,
2.75,
1.65,
0.55
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.0698344,
0.0978628,
0.1189993,
0.1413954,
0.1728436
],
"values": [
4.95,
3.85,
2.75,
1.65,
0.55
]
}
]
},
"output_voltage_rise": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0284105,
0.0513628,
0.0759321,
0.105576,
0.1553062
],
"values": [
0.55,
1.65,
2.75,
3.85,
4.95
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.0797819,
0.1083667,
0.1332634,
0.1625621,
0.2113326
],
"values": [
0.55,
1.65,
2.75,
3.85,
4.95
]
}
]
},
"propagated_noise_high": {
"vector,ccsn_pnlh": [
{
"index_1": [
2.475
],
"index_2": [
0.478154
],
"index_3": [
0.001
],
"index_4": [
0.2035801,
0.2422674,
0.2911149,
0.3386599,
0.3819572
],
"values": [
5.04343,
4.76949,
4.58686,
4.76949,
5.04343
]
},
{
"index_1": [
2.75
],
"index_2": [
0.396289
],
"index_3": [
0.001
],
"index_4": [
0.1733981,
0.2050885,
0.2481292,
0.2947612,
0.3316406
],
"values": [
4.95533,
4.62853,
4.41066,
4.62853,
4.95533
]
},
{
"index_1": [
3.025
],
"index_2": [
0.336901
],
"index_3": [
0.001
],
"index_4": [
0.1511851,
0.1781481,
0.2123945,
0.2619113,
0.2959972
],
"values": [
4.86476,
4.48361,
4.22951,
4.48361,
4.86476
]
},
{
"index_1": [
2.475
],
"index_2": [
0.239077
],
"index_3": [
0.001
],
"index_4": [
0.1125893,
0.1299445,
0.1566761,
0.1904159,
0.2199205
],
"values": [
5.14979,
4.93966,
4.79958,
4.93966,
5.14979
]
},
{
"index_1": [
2.75
],
"index_2": [
0.198144
],
"index_3": [
0.001
],
"index_4": [
0.0963521,
0.1110684,
0.1397749,
0.1666054,
0.194839
],
"values": [
5.10611,
4.86977,
4.71222,
4.86977,
5.10611
]
},
{
"index_1": [
3.025
],
"index_2": [
0.168451
],
"index_3": [
0.001
],
"index_4": [
0.0845533,
0.0972187,
0.1208119,
0.1482754,
0.173685
],
"values": [
5.0639,
4.80224,
4.6278,
4.80224,
5.0639
]
},
{
"index_1": [
2.75
],
"index_2": [
0.594433
],
"index_3": [
0.001
],
"index_4": [
0.252521,
0.3008934,
0.3602671,
0.4172202,
0.466457
],
"values": [
4.85668,
4.47068,
4.21335,
4.47068,
4.85668
]
}
]
},
"propagated_noise_low": {
"vector,ccsn_pnlh": [
{
"index_1": [
2.475
],
"index_2": [
0.928723
],
"index_3": [
0.001
],
"index_4": [
0.3878928,
0.4487502,
0.4915239,
0.556565,
0.6196972
],
"values": [
0.193852,
0.310163,
0.387704,
0.310163,
0.193852
]
},
{
"index_1": [
2.75
],
"index_2": [
0.716814
],
"index_3": [
0.001
],
"index_4": [
0.3043211,
0.3531764,
0.3947371,
0.4447804,
0.4938428
],
"values": [
0.255719,
0.40915,
0.511438,
0.40915,
0.255719
]
},
{
"index_1": [
3.06595
],
"index_2": [
0.558185
],
"index_3": [
0.001
],
"index_4": [
0.2433713,
0.2804494,
0.3273274,
0.3622743,
0.4007093
],
"values": [
0.343349,
0.549358,
0.686697,
0.549358,
0.343349
]
},
{
"index_1": [
2.475
],
"index_2": [
0.464362
],
"index_3": [
0.001
],
"index_4": [
0.2023598,
0.233605,
0.2551246,
0.301013,
0.3362924
],
"values": [
0.170476,
0.272762,
0.340953,
0.272762,
0.170476
]
},
{
"index_1": [
2.75
],
"index_2": [
0.358407
],
"index_3": [
0.001
],
"index_4": [
0.1606114,
0.1831243,
0.2029051,
0.2436351,
0.2730449
],
"values": [
0.213204,
0.341126,
0.426408,
0.341126,
0.213204
]
},
{
"index_1": [
3.06595
],
"index_2": [
0.279093
],
"index_3": [
0.001
],
"index_4": [
0.1287445,
0.1463117,
0.1703381,
0.1988911,
0.2243312
],
"values": [
0.26866,
0.429856,
0.53732,
0.429856,
0.26866
]
},
{
"index_1": [
2.75
],
"index_2": [
1.07522
],
"index_3": [
0.001
],
"index_4": [
0.4483177,
0.5211665,
0.583547,
0.6421852,
0.7100944
],
"values": [
0.274606,
0.43937,
0.549212,
0.43937,
0.274606
]
}
]
},
"stage_type": "both"
},
"clock": "true",
"direction": "input",
"fall_capacitance": 0.002725,
"input_voltage": "GENERAL",
"internal_power": {
"fall_power,hidden_pwr_template11": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.2281704,
0.2296239,
0.2311987,
0.2495426,
0.3069672,
0.4117278,
0.6212488,
0.8333942,
1.4246514,
1.7315748,
2.0384981
]
},
"rise_power,hidden_pwr_template11": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.1357404,
0.1369649,
0.1382915,
0.154929,
0.2070118,
0.3132635,
0.5257669,
0.7415706,
1.3430236,
1.6552396,
1.9674555
]
}
},
"max_transition": 3.75,
"min_pulse_width_high": 0.175316,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.002836
},
"pin,Q": {
"ccsn_last_stage": {
"dc_current,ccsn_dc": {
"index_1": [
-5.5,
-2.75,
-1.1,
-0.55,
0,
0.275,
0.55,
0.825,
1.1,
1.375,
1.65,
1.925,
2.2,
2.475,
2.75,
3.025,
3.3,
3.575,
3.85,
4.125,
4.4,
4.675,
4.95,
5.225,
5.5,
6.05,
6.6,
8.25,
11
],
"index_2": [
-5.5,
-2.75,
-1.1,
-0.55,
0,
0.275,
0.55,
0.825,
1.1,
1.375,
1.65,
1.925,
2.2,
2.475,
2.75,
3.025,
3.3,
3.575,
3.85,
4.125,
4.4,
4.675,
4.95,
5.225,
5.5,
6.05,
6.6,
8.25,
11
],
"values": [
[
32.6863,
13.7146,
2.99847,
1.78157,
1.74161,
1.71761,
1.68978,
1.65664,
1.61583,
1.56378,
1.49804,
1.42243,
1.34114,
1.25526,
1.16491,
1.07006,
0.970627,
0.866523,
0.757658,
0.643946,
0.525305,
0.401656,
0.272929,
0.139062,
-2.07644e-08,
-0.283493,
-0.569628,
-8.05192,
-22.394
],
[
32.7761,
13.2208,
2.48613,
1.28275,
1.26154,
1.24961,
1.23652,
1.22199,
1.2056,
1.18681,
1.16471,
1.13787,
1.10377,
1.05805,
0.99738,
0.926248,
0.848502,
0.764747,
0.674933,
0.578911,
0.476515,
0.367581,
0.251948,
0.129468,
-7.93532e-09,
-0.266278,
-0.5395,
-8.04135,
-22.3804
],
[
32.7691,
12.9534,
2.176,
0.975457,
0.960305,
0.952264,
0.943655,
0.934324,
0.924098,
0.912757,
0.899992,
0.885352,
0.868138,
0.847187,
0.820384,
0.783655,
0.731817,
0.667578,
0.595365,
0.515788,
0.428689,
0.333818,
0.230913,
0.119721,
-5.08993e-09,
-0.248697,
-0.51029,
-8.03146,
-22.3681
],
[
32.7386,
12.8793,
2.07368,
0.872809,
0.859012,
0.85195,
0.844481,
0.836456,
0.827732,
0.818142,
0.807463,
0.795377,
0.781413,
0.764817,
0.744293,
0.717369,
0.679209,
0.625581,
0.561058,
0.488458,
0.407875,
0.319043,
0.221654,
0.115404,
-4.7754e-09,
-0.241006,
-0.497782,
-8.02731,
-22.363
],
[
32.6803,
12.8132,
1.98274,
0.770485,
0.757575,
0.751357,
0.744891,
0.738018,
0.730611,
0.722536,
0.71363,
0.703668,
0.692329,
0.679115,
0.663216,
0.64317,
0.61605,
0.576238,
0.521528,
0.456951,
0.383812,
0.301906,
0.210879,
0.110363,
-5.90572e-09,
-0.2321,
-0.483495,
-8.02263,
-22.3573
],
[
32.6388,
12.7805,
1.94309,
0.725559,
0.706862,
0.701019,
0.695007,
0.688661,
0.681853,
0.674462,
0.666348,
0.657321,
0.647114,
0.635324,
0.621304,
0.603924,
0.580982,
0.547846,
0.499398,
0.439366,
0.370354,
0.292299,
0.204822,
0.107521,
-1.162e-07,
-0.227114,
-0.475589,
-8.02006,
-22.3542
],
[
32.588,
12.7472,
1.90519,
0.695328,
0.656189,
0.650689,
0.645102,
0.63925,
0.633006,
0.626256,
0.618879,
0.610716,
0.601543,
0.591032,
0.578669,
0.563575,
0.544096,
0.516713,
0.475326,
0.420368,
0.355795,
0.281879,
0.198232,
0.104408,
-2.89433e-05,
-0.221763,
-0.467171,
-8.01751,
-22.3519
],
[
32.5269,
12.7134,
1.86823,
0.671125,
0.605586,
0.599894,
0.594536,
0.58899,
0.583118,
0.576811,
0.569961,
0.562433,
0.554041,
0.54452,
0.533455,
0.520165,
0.503401,
0.480573,
0.446182,
0.396849,
0.336822,
0.267068,
0.187191,
0.0966767,
-0.00499842,
-0.222663,
-0.467696,
-8.04518,
-22.5012
],
[
32.4546,
12.6784,
1.83193,
0.6486,
0.555089,
0.538182,
0.530858,
0.524434,
0.518045,
0.511431,
0.504434,
0.496911,
0.488693,
0.479552,
0.469153,
0.456955,
0.442007,
0.422405,
0.393866,
0.350528,
0.29481,
0.228717,
0.151819,
0.0634117,
-0.0372485,
-0.25665,
-0.511518,
-8.18787,
-23.1016
],
[
32.3703,
12.6421,
1.79608,
0.625664,
0.504739,
0.469017,
0.455638,
0.447622,
0.440623,
0.43381,
0.426867,
0.419594,
0.411815,
0.403322,
0.393832,
0.382915,
0.369837,
0.353193,
0.329867,
0.293613,
0.243262,
0.181747,
0.108763,
0.0234282,
-0.0752225,
-0.29429,
-0.556789,
-8.31879,
-23.5831
],
[
32.2727,
12.6041,
1.76053,
0.601074,
0.454589,
0.401182,
0.377544,
0.366968,
0.359218,
0.352232,
0.34541,
0.338463,
0.331189,
0.323389,
0.314821,
0.305137,
0.29377,
0.279677,
0.26064,
0.231479,
0.187453,
0.131192,
0.0628235,
-0.0186932,
-0.114547,
-0.331659,
-0.600248,
-8.44195,
-24.0282
],
[
32.1609,
12.5641,
1.72515,
0.574277,
0.404708,
0.335941,
0.299829,
0.285145,
0.276349,
0.269124,
0.26241,
0.255785,
0.249004,
0.241869,
0.234165,
0.225607,
0.215755,
0.203835,
0.18827,
0.165316,
0.128525,
0.0781621,
0.0150588,
-0.0619443,
-0.154261,
-0.367922,
-0.641187,
-8.55816,
-24.4486
],
[
32.0337,
12.5219,
1.68982,
0.545284,
0.355185,
0.273177,
0.224262,
0.203408,
0.193077,
0.18548,
0.178819,
0.172479,
0.166154,
0.159633,
0.15272,
0.145177,
0.136663,
0.126598,
0.113861,
0.0958938,
0.0667274,
0.0229891,
-0.0341787,
-0.105948,
-0.193958,
-0.402637,
-0.678182,
-8.66693,
-24.8438
],
[
31.8901,
12.4772,
1.65444,
0.514403,
0.306147,
0.212691,
0.15175,
0.122643,
0.110022,
0.101844,
0.0951495,
0.0890396,
0.0831205,
0.077157,
0.0709601,
0.0643289,
0.0569941,
0.048523,
0.0381145,
0.0240461,
0.00194138,
-0.0343355,
-0.0848529,
-0.150619,
-0.233502,
-0.435604,
-0.70856,
-8.76807,
-25.213
],
[
31.7291,
12.4299,
1.61889,
0.482058,
0.257777,
0.154383,
0.0825833,
0.0437042,
0.0277018,
0.0186437,
0.0117967,
0.00584697,
0.000276231,
-0.0051899,
-0.0107428,
-0.0165594,
-0.0228537,
-0.0299493,
-0.0384193,
-0.0494261,
-0.065804,
-0.0939791,
-0.13707,
-0.196004,
-0.272867,
-0.466716,
-0.735229,
-8.86122,
-25.5565
],
[
31.5499,
12.3798,
1.58315,
0.448738,
0.210343,
0.0982954,
0.0168182,
-0.0324758,
-0.0533045,
-0.0636668,
-0.0708222,
-0.0766964,
-0.0819829,
-0.0870137,
-0.0919931,
-0.0970846,
-0.102462,
-0.108368,
-0.115213,
-0.123784,
-0.135853,
-0.156192,
-0.191014,
-0.24221,
-0.312073,
-0.495889,
-0.75804,
-8.94502,
-25.875
],
[
31.3526,
12.327,
1.54733,
0.41504,
0.164259,
0.0446634,
-0.0454158,
-0.104931,
-0.132241,
-0.144501,
-0.152169,
-0.15807,
-0.163143,
-0.167803,
-0.172278,
-0.176729,
-0.181302,
-0.186181,
-0.191658,
-0.198273,
-0.207138,
-0.221091,
-0.246918,
-0.289385,
-0.351154,
-0.523028,
-0.776814,
-9.02128,
-26.1687
],
[
31.1384,
12.2721,
1.51181,
0.381763,
0.120174,
-0.00600414,
-0.103727,
-0.172715,
-0.208046,
-0.22302,
-0.231469,
-0.237519,
-0.242459,
-0.246816,
-0.250857,
-0.254749,
-0.258624,
-0.262622,
-0.266954,
-0.271993,
-0.278455,
-0.287922,
-0.305035,
-0.33771,
-0.390155,
-0.548013,
-0.791284,
-9.08994,
-26.4346
],
[
30.9113,
12.2164,
1.47774,
0.350096,
0.0791459,
-0.0527609,
-0.157275,
-0.234762,
-0.279174,
-0.297945,
-0.307531,
-0.313884,
-0.318783,
-0.322909,
-0.326588,
-0.330004,
-0.333282,
-0.336538,
-0.339925,
-0.343706,
-0.348365,
-0.354833,
-0.365361,
-0.387394,
-0.42912,
-0.570689,
-0.800948,
-9.15093,
-26.6765
],
[
30.681,
12.1627,
1.4463,
0.321943,
0.0429433,
-0.0939362,
-0.204477,
-0.289496,
-0.343355,
-0.367254,
-0.378457,
-0.385303,
-0.390266,
-0.394245,
-0.39764,
-0.400667,
-0.403454,
-0.406106,
-0.408739,
-0.411547,
-0.414881,
-0.419364,
-0.426132,
-0.438632,
-0.468091,
-0.590868,
-0.805356,
-9.20504,
-26.897
],
[
30.4682,
12.1164,
1.42164,
0.300459,
0.0145122,
-0.126685,
-0.24258,
-0.334261,
-0.397266,
-0.427732,
-0.441193,
-0.448773,
-0.45393,
-0.457854,
-0.461053,
-0.463784,
-0.466195,
-0.468386,
-0.470458,
-0.472566,
-0.47499,
-0.47822,
-0.483046,
-0.490724,
-0.507111,
-0.608425,
-0.806263,
-9.25338,
-27.0978
],
[
30.327,
12.0928,
1.41175,
0.29305,
0.000689063,
-0.144372,
-0.265098,
-0.362737,
-0.434162,
-0.472503,
-0.489093,
-0.497743,
-0.503272,
-0.507277,
-0.51041,
-0.51299,
-0.515192,
-0.517128,
-0.518898,
-0.52064,
-0.522607,
-0.525244,
-0.529272,
-0.535758,
-0.546218,
-0.624162,
-0.806522,
-9.29674,
-27.28
],
[
30.3228,
12.1021,
1.41784,
0.299423,
1.16604e-06,
-0.148977,
-0.274473,
-0.37783,
-0.457098,
-0.504485,
-0.525596,
-0.536028,
-0.542406,
-0.546892,
-0.550331,
-0.553122,
-0.555478,
-0.557528,
-0.559376,
-0.561156,
-0.563098,
-0.565622,
-0.569422,
-0.575547,
-0.585448,
-0.641055,
-0.807714,
-9.33568,
-27.4447
],
[
30.3388,
12.1138,
1.42439,
0.305986,
8.04523e-09,
-0.152559,
-0.282442,
-0.391031,
-0.477279,
-0.533979,
-0.56091,
-0.573682,
-0.581144,
-0.586237,
-0.590065,
-0.593126,
-0.595683,
-0.597887,
-0.599852,
-0.601704,
-0.603656,
-0.606097,
-0.609688,
-0.615452,
-0.624831,
-0.664516,
-0.810532,
-9.37059,
-27.5927
],
[
30.3549,
12.1246,
1.43035,
0.311949,
9.2924e-09,
-0.155783,
-0.289661,
-0.403016,
-0.495444,
-0.561093,
-0.595143,
-0.610946,
-0.619759,
-0.625579,
-0.629859,
-0.633231,
-0.636016,
-0.638395,
-0.640492,
-0.642433,
-0.644412,
-0.646787,
-0.650179,
-0.655575,
-0.664395,
-0.700345,
-0.818657,
-9.40176,
-27.7248
],
[
30.3868,
12.151,
1.44078,
0.322292,
1.9414e-08,
-0.161309,
-0.302152,
-0.423845,
-0.526725,
-0.607805,
-0.658918,
-0.683646,
-0.696305,
-0.704073,
-0.709509,
-0.71365,
-0.716987,
-0.719784,
-0.722204,
-0.724377,
-0.726468,
-0.728772,
-0.731822,
-0.736503,
-0.744155,
-0.776304,
-0.875107,
-9.4557,
-27.9439
],
[
30.4177,
12.1577,
1.44953,
0.330831,
4.60408e-08,
-0.165803,
-0.312453,
-0.441162,
-0.552582,
-0.645567,
-0.714001,
-0.752307,
-0.771215,
-0.781926,
-0.788995,
-0.794169,
-0.798224,
-0.801553,
-0.804383,
-0.806866,
-0.809154,
-0.811484,
-0.814294,
-0.818347,
-0.824856,
-0.852841,
-0.94499,
-9.50804,
-28.1075
],
[
30.4926,
12.1881,
1.46821,
0.348333,
4.17378e-07,
-0.174785,
-0.333713,
-0.477673,
-0.607414,
-0.723369,
-0.825097,
-0.909536,
-0.969322,
-1.0032,
-1.0218,
-1.03333,
-1.04135,
-1.04741,
-1.05225,
-1.05627,
-1.05972,
-1.06278,
-1.06568,
-1.06879,
-1.07283,
-1.08902,
-1.15774,
-9.60887,
-28.3291
],
[
30.5483,
12.214,
1.48674,
0.360483,
4.10049e-06,
-0.180664,
-0.349413,
-0.50676,
-0.653196,
-0.789173,
-0.915075,
-1.03115,
-1.13734,
-1.23274,
-1.3143,
-1.376,
-1.41568,
-1.43999,
-1.45597,
-1.46742,
-1.47619,
-1.48326,
-1.48916,
-1.49424,
-1.49874,
-1.50749,
-1.54773,
-9.70688,
-27.8993
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.00146788,
"miller_cap_rise": 0.000998155,
"output_voltage_fall": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00362397
],
"index_3": [
0.0227419,
0.0318965,
0.0412159,
0.050998,
0.0648296
],
"values": [
4.95,
3.85,
2.75,
1.65,
0.55
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0108719
],
"index_3": [
0.030085,
0.0516301,
0.0733512,
0.0967547,
0.1277453
],
"values": [
4.95,
3.85,
2.75,
1.65,
0.55
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00362397
],
"index_3": [
0.0658041,
0.0843125,
0.096217,
0.1065961,
0.1201597
],
"values": [
4.95,
3.85,
2.75,
1.65,
0.55
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0108719
],
"index_3": [
0.0775269,
0.10508,
0.1268483,
0.149851,
0.1813189
],
"values": [
4.95,
3.85,
2.75,
1.65,
0.55
]
}
]
},
"output_voltage_rise": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00413222
],
"index_3": [
0.0210119,
0.0296808,
0.0388953,
0.0503395,
0.0706615
],
"values": [
0.55,
1.65,
2.75,
3.85,
4.95
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0123967
],
"index_3": [
0.0279534,
0.0491187,
0.071932,
0.0986486,
0.1440383
],
"values": [
0.55,
1.65,
2.75,
3.85,
4.95
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00413222
],
"index_3": [
0.0665886,
0.0851516,
0.0973894,
0.109256,
0.1298321
],
"values": [
0.55,
1.65,
2.75,
3.85,
4.95
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0123967
],
"index_3": [
0.0783033,
0.1059416,
0.1284176,
0.1551015,
0.2010972
],
"values": [
0.55,
1.65,
2.75,
3.85,
4.95
]
}
]
},
"propagated_noise_high": {
"vector,ccsn_pnlh": [
{
"index_1": [
2.475
],
"index_2": [
0.303807
],
"index_3": [
0.00362397
],
"index_4": [
0.1355666,
0.1571703,
0.1815765,
0.2073359,
0.2335501
],
"values": [
5.13922,
4.92275,
4.77844,
4.92275,
5.13922
]
},
{
"index_1": [
2.75
],
"index_2": [
0.247908
],
"index_3": [
0.00362397
],
"index_4": [
0.1141274,
0.1312714,
0.15466,
0.1769166,
0.1989979
],
"values": [
5.05323,
4.78517,
4.60647,
4.78517,
5.05323
]
},
{
"index_1": [
3.025
],
"index_2": [
0.208287
],
"index_3": [
0.00362397
],
"index_4": [
0.099784,
0.1133227,
0.1335223,
0.1545588,
0.1740277
],
"values": [
4.95208,
4.62332,
4.40415,
4.62332,
4.95208
]
},
{
"index_1": [
2.475
],
"index_2": [
0.151904
],
"index_3": [
0.00362397
],
"index_4": [
0.0774063,
0.0855266,
0.0986554,
0.1188332,
0.1365224
],
"values": [
5.20471,
5.02753,
4.90941,
5.02753,
5.20471
]
},
{
"index_1": [
3.025
],
"index_2": [
0.104144
],
"index_3": [
0.00362397
],
"index_4": [
0.0565283,
0.0632483,
0.0781801,
0.0915299,
0.1066105
],
"values": [
5.10996,
4.87594,
4.71993,
4.87594,
5.10996
]
},
{
"index_1": [
2.75
],
"index_2": [
0.247908
],
"index_3": [
0.0108719
],
"index_4": [
0.1187908,
0.1365134,
0.1665447,
0.1969795,
0.2257748
],
"values": [
5.17747,
4.98395,
4.85493,
4.98395,
5.17747
]
},
{
"index_1": [
3.025
],
"index_2": [
0.208287
],
"index_3": [
0.0108719
],
"index_4": [
0.103334,
0.1180797,
0.1416485,
0.1732672,
0.2010221
],
"values": [
5.13521,
4.91634,
4.77043,
4.91634,
5.13521
]
}
]
},
"propagated_noise_low": {
"vector,ccsn_pnlh": [
{
"index_1": [
2.475
],
"index_2": [
0.422992
],
"index_3": [
0.00413222
],
"index_4": [
0.1849414,
0.2125003,
0.231809,
0.2605043,
0.2885274
],
"values": [
0.247189,
0.395503,
0.494378,
0.395503,
0.247189
]
},
{
"index_1": [
2.75
],
"index_2": [
0.325895
],
"index_3": [
0.00413222
],
"index_4": [
0.1465564,
0.1668599,
0.1826674,
0.2096123,
0.2313928
],
"values": [
0.33277,
0.532433,
0.665541,
0.532433,
0.33277
]
},
{
"index_1": [
3.025
],
"index_2": [
0.261228
],
"index_3": [
0.00413222
],
"index_4": [
0.1216694,
0.1367874,
0.1626293,
0.1776722,
0.1945591
],
"values": [
0.440474,
0.704758,
0.880947,
0.704758,
0.440474
]
},
{
"index_1": [
2.475
],
"index_2": [
0.211496
],
"index_3": [
0.00413222
],
"index_4": [
0.1004576,
0.111558,
0.1251137,
0.1430577,
0.1599157
],
"values": [
0.214989,
0.343982,
0.429978,
0.343982,
0.214989
]
},
{
"index_1": [
3.025
],
"index_2": [
0.130614
],
"index_3": [
0.00413222
],
"index_4": [
0.0668445,
0.0734663,
0.0854979,
0.0991737,
0.1116401
],
"values": [
0.329185,
0.526696,
0.658371,
0.526696,
0.329185
]
},
{
"index_1": [
2.75
],
"index_2": [
0.325895
],
"index_3": [
0.0123967
],
"index_4": [
0.1497942,
0.1701591,
0.1906339,
0.2300385,
0.2579576
],
"values": [
0.247407,
0.39585,
0.494813,
0.39585,
0.247407
]
},
{
"index_1": [
3.025
],
"index_2": [
0.261228
],
"index_3": [
0.0123967
],
"index_4": [
0.1233391,
0.1403297,
0.164066,
0.1941508,
0.2181858
],
"values": [
0.299965,
0.479944,
0.59993,
0.479944,
0.299965
]
}
]
},
"stage_type": "both"
},
"direction": "output",
"function": "IQ",
"internal_power": [
{
"fall_power,pwr_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0,
0.00873,
0.01047,
0.01257,
0.01509,
0.01811,
0.02173,
0.02607,
0.03128,
0.03753,
0.04504,
0.05405,
0.06486,
0.07783,
0.09339,
0.11207,
0.13448,
0.16137,
0.19364,
0.23237,
0.27884,
0.33461,
0.40153,
0.48183,
0.5782,
0.69384
],
"values": [
[
0.531624,
0.3494716,
0.3187677,
0.2823407,
0.2404043,
0.1893998,
0.1303088,
0.0600665,
-0.0242171,
-0.1250481,
-0.244543,
-0.3875201,
-0.5575688,
-0.7599875,
-1.0014455,
-1.2894689,
-1.6339577,
-2.044925,
-2.5385165,
-3.1310968,
-3.8346132,
-4.6822516,
-5.6960153,
-6.9145588,
-8.3755963,
-10.1292216
],
[
0.5297764,
0.3481325,
0.316994,
0.280782,
0.2381739,
0.1883049,
0.1286605,
0.0585674,
-0.0258379,
-0.1260568,
-0.2458423,
-0.3888076,
-0.5584118,
-0.7610407,
-1.0024944,
-1.2908645,
-1.6352008,
-2.0452272,
-2.5395306,
-3.1279017,
-3.8344544,
-4.6817519,
-5.6980184,
-6.9151112,
-8.375028,
-10.128011
],
[
0.5314278,
0.3490188,
0.317267,
0.2812698,
0.2388114,
0.1889516,
0.1300983,
0.0590909,
-0.0245811,
-0.1251003,
-0.2449461,
-0.3877938,
-0.557732,
-0.7603385,
-1.0016413,
-1.2901753,
-1.6343775,
-2.0459343,
-2.538821,
-3.1285258,
-3.8357079,
-4.6826025,
-5.6981239,
-6.9144539,
-8.3743508,
-10.125483
],
[
0.5406356,
0.3591705,
0.3284392,
0.2911738,
0.2490193,
0.1982575,
0.13919,
0.0685989,
-0.0152594,
-0.1156245,
-0.2360247,
-0.3778036,
-0.5485406,
-0.7512854,
-0.9908756,
-1.2803492,
-1.6253097,
-2.0350074,
-2.5308338,
-3.1165574,
-3.8249048,
-4.6748673,
-5.6889862,
-6.9041916,
-8.3624045,
-10.120685
],
[
0.5739242,
0.3903531,
0.3594649,
0.3228953,
0.2803325,
0.2302462,
0.1701827,
0.0997606,
0.0149857,
-0.0848323,
-0.2053313,
-0.348005,
-0.5172082,
-0.7208997,
-0.9628039,
-1.2502424,
-1.5954434,
-2.0059024,
-2.4998671,
-3.0891138,
-3.7952673,
-4.6419546,
-5.6590884,
-6.8759076,
-8.3377153,
-10.087304
],
[
0.6597591,
0.4746211,
0.443528,
0.4067709,
0.363358,
0.3129155,
0.2524397,
0.1809656,
0.096532,
-0.005369,
-0.1263424,
-0.2702544,
-0.4411078,
-0.6447658,
-0.8875919,
-1.1768227,
-1.5221017,
-1.9348143,
-2.4286459,
-3.0180169,
-3.7260115,
-4.5746968,
-5.5891991,
-6.8067312,
-8.2672452,
-10.0186845
],
[
0.8696656,
0.683201,
0.6505963,
0.6120426,
0.5675929,
0.5155618,
0.4538176,
0.380422,
0.2932342,
0.1904874,
0.0673627,
-0.0794075,
-0.252841,
-0.4594572,
-0.7045012,
-0.9966585,
-1.3447856,
-1.7596208,
-2.2555246,
-2.8479722,
-3.5569912,
-4.4062914,
-5.4238273,
-6.6429758,
-8.1036576,
-9.8564109
],
[
1.106796,
0.9068708,
0.8704505,
0.8311684,
0.786454,
0.7336001,
0.6715825,
0.5970599,
0.5088931,
0.403141,
0.2772967,
0.1286292,
-0.048189,
-0.2573888,
-0.5056656,
-0.8004668,
-1.151226,
-1.5698024,
-2.0677653,
-2.6639227,
-3.3754556,
-4.2265061,
-5.2452845,
-6.4660311,
-7.9288115,
-9.6828034
],
[
1.7767297,
1.569155,
1.5313393,
1.4866874,
1.4344318,
1.3730017,
1.3016412,
1.2175171,
1.1205997,
1.0070999,
0.8738488,
0.7193777,
0.5380739,
0.3224844,
0.0672899,
-0.2354206,
-0.5940841,
-1.0198913,
-1.5263005,
-2.1292918,
-2.8481756,
-3.7067501,
-4.7321128,
-5.9587561,
-7.4272156,
-9.1858627
],
[
2.0922103,
1.8804523,
1.8420558,
1.7967129,
1.7436698,
1.6813917,
1.6082847,
1.522716,
1.4233742,
1.3096316,
1.1736646,
1.0152104,
0.8289872,
0.6104465,
0.3539385,
0.0483247,
-0.3137764,
-0.7432028,
-1.2533371,
-1.8599845,
-2.5827205,
-3.4439288,
-4.4730715,
-5.7030624,
-7.1739605,
-8.935469
],
[
2.4048877,
2.1899678,
2.1512709,
2.1051878,
2.051605,
1.9885404,
1.9144601,
1.8276203,
1.726679,
1.6104633,
1.4722221,
1.3113611,
1.1227939,
0.9005382,
0.6384086,
0.3325392,
-0.0318658,
-0.4647835,
-0.9781931,
-1.5878826,
-2.3136499,
-3.1789101,
-4.2107095,
-5.4433268,
-6.9177216,
-8.6818781
]
]
},
"related_pin": "D",
"rise_power,pwr_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0,
0.00873,
0.01047,
0.01257,
0.01509,
0.01811,
0.02173,
0.02607,
0.03128,
0.03753,
0.04504,
0.05405,
0.06486,
0.07783,
0.09339,
0.11207,
0.13448,
0.16137,
0.19364,
0.23237,
0.27884,
0.33461,
0.40153,
0.48183,
0.5782,
0.69384
],
"values": [
[
0.5309874,
0.6302828,
0.6522956,
0.6809327,
0.7149835,
0.7570739,
0.8067465,
0.8684404,
0.9413368,
1.0301195,
1.1403238,
1.2692836,
1.4281312,
1.6214843,
1.846024,
2.120589,
2.4541993,
2.8562926,
3.3361345,
3.9153146,
4.6232223,
5.4408027,
6.4289679,
7.6568104,
9.0862226,
10.7895556
],
[
0.531492,
0.6306523,
0.6530604,
0.6816823,
0.7162116,
0.7580083,
0.8079665,
0.8689302,
0.9422962,
1.0314458,
1.1401216,
1.2708468,
1.4296879,
1.6171007,
1.8457579,
2.1241744,
2.4617381,
2.8596635,
3.3400588,
3.9209719,
4.6109838,
5.4682199,
6.4439117,
7.626032,
9.1090524,
10.8377584
],
[
0.5303442,
0.6297467,
0.6519674,
0.6805656,
0.7151029,
0.7569808,
0.806924,
0.8679049,
0.9411597,
1.0305181,
1.1388834,
1.2698673,
1.4275432,
1.6160877,
1.8451787,
2.1216733,
2.4562986,
2.850279,
3.3385878,
3.9214987,
4.6232798,
5.4665939,
6.4282709,
7.631315,
9.101978,
10.8292502
],
[
0.5343537,
0.6335575,
0.6556203,
0.6850166,
0.7187856,
0.7605767,
0.8109925,
0.8722226,
0.9446521,
1.0340912,
1.1430445,
1.2736835,
1.4302678,
1.6191672,
1.8494443,
2.1258371,
2.4585875,
2.8611387,
3.3349162,
3.9187636,
4.6119366,
5.4677893,
6.4432195,
7.6214381,
9.1149621,
10.8006428
],
[
0.5675359,
0.6656219,
0.6884884,
0.7164728,
0.7510327,
0.7912431,
0.8431504,
0.9039529,
0.9759672,
1.0641558,
1.1717179,
1.3023787,
1.458247,
1.6489484,
1.8771542,
2.1548048,
2.4877059,
2.8886009,
3.3675793,
3.9463052,
4.6392036,
5.4980804,
6.505109,
7.6515648,
9.1375879,
10.8048462
],
[
0.6627644,
0.7579755,
0.7797541,
0.8093186,
0.8414478,
0.8825992,
0.9293423,
0.9886026,
1.0600245,
1.1474133,
1.2552589,
1.3850823,
1.5403219,
1.7282996,
1.9544039,
2.2279158,
2.5576935,
2.9593685,
3.4313284,
4.0118213,
4.7117254,
5.5458421,
6.5412624,
7.7160718,
9.2111779,
10.913008
],
[
0.9189213,
1.0000298,
1.0200337,
1.0437443,
1.0721971,
1.106627,
1.1468205,
1.2027399,
1.271746,
1.359727,
1.4575049,
1.5814404,
1.7397716,
1.9220266,
2.143961,
2.4098909,
2.7333845,
3.1285599,
3.614369,
4.1881071,
4.893254,
5.711906,
6.6732733,
7.8778354,
9.3665534,
11.0472954
],
[
1.1468618,
1.2288651,
1.2457869,
1.2686541,
1.2963616,
1.3303292,
1.3724015,
1.4267426,
1.4939804,
1.5799472,
1.6630695,
1.7933011,
1.9371566,
2.1246963,
2.3428238,
2.6130827,
2.9323239,
3.3248842,
3.7851222,
4.3542875,
5.0696754,
5.8914451,
6.9051455,
8.0649994,
9.5336701,
11.1963718
],
[
1.7800377,
1.868396,
1.8734847,
1.8869983,
1.9124129,
1.9393156,
1.9962221,
2.0371952,
2.104416,
2.1625908,
2.2611409,
2.3815365,
2.5395393,
2.7152989,
2.9268692,
3.1840793,
3.474624,
3.8725021,
4.3434392,
4.8942525,
5.5721291,
6.3782607,
7.350231,
8.5392968,
9.9685121,
11.7049724
],
[
2.1173518,
2.1827513,
2.2078495,
2.2162601,
2.2396326,
2.2727546,
2.3061517,
2.358865,
2.4203522,
2.492598,
2.5929208,
2.6807214,
2.8258434,
2.9965818,
3.2093689,
3.4651669,
3.7649511,
4.1488546,
4.6202336,
5.1787326,
5.8664342,
6.672633,
7.6455037,
8.8059079,
10.247055,
11.9719
],
[
2.4332828,
2.5011487,
2.5002733,
2.5294101,
2.5579791,
2.580687,
2.6194833,
2.6677292,
2.7362041,
2.7959172,
2.8724406,
2.9833686,
3.1324404,
3.3205705,
3.5102397,
3.7633192,
4.0735753,
4.4524931,
4.9031184,
5.4598145,
6.1400243,
6.9300638,
7.9176319,
9.0933468,
10.4916974,
12.1935284
]
]
}
},
{
"fall_power,pwr_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0,
0.00873,
0.01047,
0.01257,
0.01509,
0.01811,
0.02173,
0.02607,
0.03128,
0.03753,
0.04504,
0.05405,
0.06486,
0.07783,
0.09339,
0.11207,
0.13448,
0.16137,
0.19364,
0.23237,
0.27884,
0.33461,
0.40153,
0.48183,
0.5782,
0.69384
],
"values": [
[
0.5553545,
0.3746209,
0.3432494,
0.30643,
0.264117,
0.214649,
0.1552058,
0.0846144,
0.0001599,
-0.1004083,
-0.2204548,
-0.3628363,
-0.5330569,
-0.7335896,
-0.9763501,
-1.2632767,
-1.6099679,
-2.0193628,
-2.51414,
-3.1067005,
-3.8119794,
-4.6598923,
-5.6769429,
-6.8877369,
-8.3492527,
-10.0957108
],
[
0.5518266,
0.3760648,
0.344562,
0.3083977,
0.2585773,
0.2097426,
0.1501406,
0.0796382,
-0.0047632,
-0.1050394,
-0.2247879,
-0.3676703,
-0.5378471,
-0.7408507,
-0.9818092,
-1.26976,
-1.6150782,
-2.0266072,
-2.519556,
-3.1085729,
-3.8165404,
-4.6563023,
-5.6717136,
-6.888966,
-8.3482904,
-10.0999743
],
[
0.5604825,
0.3770354,
0.3465,
0.3097226,
0.2664692,
0.2181814,
0.1589144,
0.0888041,
0.004338,
-0.0964171,
-0.2161901,
-0.3589381,
-0.5288527,
-0.7310432,
-0.9731114,
-1.2619035,
-1.6062481,
-2.0179095,
-2.5103328,
-3.1003346,
-3.8074452,
-4.6549244,
-5.6702472,
-6.8875825,
-8.3479985,
-10.0980751
],
[
0.5629586,
0.3802114,
0.3493476,
0.3119511,
0.269563,
0.2197649,
0.1608227,
0.0907106,
0.0069482,
-0.0934878,
-0.2145367,
-0.3559392,
-0.5254778,
-0.7295289,
-0.9713531,
-1.2592139,
-1.6029911,
-2.0146483,
-2.5127433,
-3.0993364,
-3.8099787,
-4.652327,
-5.6682006,
-6.8851585,
-8.3457987,
-10.0947903
],
[
0.5989801,
0.4169418,
0.386154,
0.3498152,
0.3076962,
0.2559127,
0.1975633,
0.1274118,
0.042411,
-0.0586417,
-0.1775959,
-0.3206675,
-0.4910151,
-0.6945193,
-0.9346626,
-1.2250619,
-1.567836,
-1.9814435,
-2.4752139,
-3.0643227,
-3.7708839,
-4.6166535,
-5.6267925,
-6.8498155,
-8.3096569,
-10.0649229
],
[
0.6922353,
0.5067213,
0.4759664,
0.4384474,
0.3963457,
0.3450628,
0.2847646,
0.2142541,
0.1283919,
0.0266595,
-0.094235,
-0.2378423,
-0.4093656,
-0.6132017,
-0.85557,
-1.1445594,
-1.4908998,
-1.9027189,
-2.3970922,
-2.9892399,
-3.6958413,
-4.5421188,
-5.5638193,
-6.7767922,
-8.2364302,
-9.9895573
],
[
0.9258025,
0.7387051,
0.7082965,
0.6669254,
0.623722,
0.5714261,
0.5090494,
0.436592,
0.3491456,
0.2454331,
0.1217967,
-0.025257,
-0.1994488,
-0.4058635,
-0.651809,
-0.9440674,
-1.2918164,
-1.7065515,
-2.2046205,
-2.797765,
-3.507363,
-4.3565513,
-5.3736912,
-6.593721,
-8.055108,
-9.8089203
],
[
1.2082295,
1.0082301,
0.9715748,
0.9276069,
0.8772994,
0.8186836,
0.756804,
0.6838109,
0.5952947,
0.489042,
0.3611525,
0.211022,
0.0360494,
-0.1745089,
-0.423122,
-0.7196231,
-1.0725277,
-1.4911491,
-1.9896822,
-2.5850471,
-3.2981965,
-4.1430122,
-5.1700249,
-6.3917863,
-7.8552402,
-9.6095722
],
[
1.9455171,
1.7389788,
1.6991519,
1.6681714,
1.6017138,
1.5407773,
1.4666181,
1.3813961,
1.2827917,
1.1719249,
1.0360425,
0.8768517,
0.6921367,
0.479868,
0.223073,
-0.0816387,
-0.4427617,
-0.8696618,
-1.3781254,
-1.9811734,
-2.7029559,
-3.5583697,
-4.5901164,
-5.8176714,
-7.28663,
-9.0479906
],
[
2.3093156,
2.0990595,
2.0570879,
2.0130348,
1.9620278,
1.8990038,
1.8231444,
1.735651,
1.6339479,
1.5214816,
1.3842473,
1.2180085,
1.0343401,
0.8139659,
0.5494876,
0.2442324,
-0.1056594,
-0.5503949,
-1.0589677,
-1.6708707,
-2.3846855,
-3.2545086,
-4.2881572,
-5.5164529,
-6.9878974,
-8.7547484
],
[
2.6659769,
2.4474189,
2.4093786,
2.3662978,
2.3098091,
2.2503812,
2.1721402,
2.084248,
1.9839021,
1.861606,
1.7286789,
1.5580184,
1.3661839,
1.1431853,
0.8814391,
0.5773076,
0.2058094,
-0.2283511,
-0.7460751,
-1.3592085,
-2.0868124,
-2.9452839,
-3.9879809,
-5.2197187,
-6.6971891,
-8.4630986
]
]
},
"related_pin": "SCD",
"rise_power,pwr_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0,
0.00873,
0.01047,
0.01257,
0.01509,
0.01811,
0.02173,
0.02607,
0.03128,
0.03753,
0.04504,
0.05405,
0.06486,
0.07783,
0.09339,
0.11207,
0.13448,
0.16137,
0.19364,
0.23237,
0.27884,
0.33461,
0.40153,
0.48183,
0.5782,
0.69384
],
"values": [
[
0.546794,
0.6444822,
0.6665483,
0.694722,
0.7293752,
0.7709716,
0.8200161,
0.8805744,
0.9535825,
1.042302,
1.1503329,
1.2822202,
1.4370177,
1.6267669,
1.8558023,
2.1330114,
2.4644531,
2.8630065,
3.3431103,
3.9226185,
4.6437977,
5.4565903,
6.4229128,
7.6612629,
9.0609662,
10.7999548
],
[
0.557119,
0.6556338,
0.6763831,
0.6985259,
0.732455,
0.7739116,
0.8303855,
0.8911682,
0.963807,
1.0524702,
1.1542145,
1.2915866,
1.439536,
1.6301481,
1.8592054,
2.134335,
2.4786683,
2.8638346,
3.3480985,
3.9245176,
4.6405663,
5.4563309,
6.4498248,
7.6872521,
9.1349508,
10.8744166
],
[
0.5469447,
0.6446772,
0.6753713,
0.6963466,
0.7294879,
0.7701629,
0.8274368,
0.8806295,
0.9533026,
1.0503746,
1.151458,
1.2820884,
1.4380586,
1.6273459,
1.8571413,
2.1311531,
2.467934,
2.8657067,
3.3558581,
3.9445957,
4.6406127,
5.4817793,
6.4738013,
7.6482442,
9.1330027,
10.869686
],
[
0.5512384,
0.6487605,
0.671032,
0.6984958,
0.7328849,
0.7737343,
0.8251398,
0.8839885,
0.9572965,
1.0463606,
1.1547429,
1.2839998,
1.4412285,
1.6303856,
1.8601431,
2.1304002,
2.4639225,
2.8629325,
3.3466682,
3.9281976,
4.644379,
5.4607087,
6.4269726,
7.6359751,
9.06707,
10.8331974
],
[
0.5907429,
0.6885877,
0.7096668,
0.7350421,
0.7692187,
0.8098345,
0.8613183,
0.9210405,
0.9944782,
1.0826912,
1.1879596,
1.3180036,
1.4741359,
1.6650453,
1.8919038,
2.164863,
2.4964797,
2.8990488,
3.3773333,
3.9524078,
4.6755797,
5.4636689,
6.4862203,
7.6500398,
9.1335719,
10.8146188
],
[
0.6892514,
0.7840337,
0.8087004,
0.8329132,
0.867581,
0.9078774,
0.953753,
1.013079,
1.0842375,
1.173194,
1.276278,
1.4037482,
1.5599768,
1.7480537,
1.9735018,
2.2482215,
2.5761981,
2.9709645,
3.4707217,
4.0312084,
4.7278213,
5.5646784,
6.5300004,
7.771454,
9.2407734,
10.9363756
],
[
0.961162,
1.041216,
1.0626042,
1.0876714,
1.1106258,
1.1436046,
1.1876437,
1.2465339,
1.3225323,
1.3994478,
1.5009907,
1.6383192,
1.7783468,
1.9587033,
2.1801728,
2.4514564,
2.7820054,
3.1739018,
3.6441801,
4.2375015,
4.9332141,
5.7458765,
6.7403128,
7.9008524,
9.3838467,
11.1122544
],
[
1.2295762,
1.3172075,
1.3241231,
1.3487771,
1.3740499,
1.4118828,
1.4502464,
1.5127285,
1.5661884,
1.6520002,
1.7531116,
1.8825575,
2.0186137,
2.2040348,
2.4215941,
2.6811783,
3.0026668,
3.3878513,
3.8569797,
4.4290326,
5.1095295,
5.9380963,
6.9412205,
8.1646637,
9.5412301,
11.2927622
],
[
1.9831844,
2.0509737,
2.0748439,
2.0984856,
2.1116912,
2.1330704,
2.167999,
2.2218342,
2.2913741,
2.3661372,
2.4585153,
2.5637317,
2.6996078,
2.8835228,
3.0731222,
3.3486171,
3.6771772,
4.034664,
4.4997573,
5.0521635,
5.7248329,
6.5443388,
7.5229323,
8.7042582,
10.1282394,
11.8824374
],
[
2.3590276,
2.4120854,
2.4266844,
2.4468825,
2.4853062,
2.5201132,
2.5349336,
2.603963,
2.6635015,
2.7168551,
2.824105,
2.9363547,
3.0874536,
3.2282841,
3.466484,
3.7263328,
4.0128841,
4.3932869,
4.83173,
5.4110266,
6.0537373,
6.8662163,
7.8278121,
9.0221848,
10.4184062,
12.1269552
],
[
2.7381191,
2.7896465,
2.7991085,
2.8268797,
2.8572424,
2.8675382,
2.9040784,
2.9745366,
2.995343,
3.0694181,
3.1840992,
3.2781507,
3.40721,
3.5754231,
3.7804793,
4.0442553,
4.3762024,
4.7375024,
5.1875482,
5.7245232,
6.3985543,
7.1933071,
8.1605559,
9.3489317,
10.7285,
12.428967
]
]
}
},
{
"fall_power,pwr_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0,
0.00873,
0.01047,
0.01257,
0.01509,
0.01811,
0.02173,
0.02607,
0.03128,
0.03753,
0.04504,
0.05405,
0.06486,
0.07783,
0.09339,
0.11207,
0.13448,
0.16137,
0.19364,
0.23237,
0.27884,
0.33461,
0.40153,
0.48183,
0.5782,
0.69384
],
"values": [
[
0.5938248,
0.4125849,
0.3816497,
0.345912,
0.3032163,
0.2527791,
0.1940208,
0.1232994,
0.0391432,
-0.0615783,
-0.1812397,
-0.3239925,
-0.493987,
-0.6963403,
-0.9381515,
-1.2271233,
-1.5712837,
-1.9830847,
-2.4757104,
-3.0655339,
-3.7726998,
-4.6189699,
-5.6355582,
-6.8514125,
-8.3111211,
-10.0635056
],
[
0.595639,
0.4125576,
0.3814696,
0.3446518,
0.3022301,
0.2524883,
0.1921556,
0.1241747,
0.0395996,
-0.0615942,
-0.1802281,
-0.3242654,
-0.4959107,
-0.6965354,
-0.9354632,
-1.2257853,
-1.5665574,
-1.9875331,
-2.4814356,
-3.0660545,
-3.778204,
-4.6271994,
-5.6375964,
-6.8462039,
-8.3042316,
-10.0670418
],
[
0.6074276,
0.4096844,
0.3776277,
0.3415776,
0.299081,
0.2492294,
0.2053388,
0.1199159,
0.0506919,
-0.0500519,
-0.1697396,
-0.3122912,
-0.482445,
-0.6849658,
-0.9417207,
-1.2313201,
-1.5595223,
-1.9714627,
-2.4642753,
-3.0545375,
-3.7610759,
-4.6066716,
-5.6245319,
-6.8412418,
-8.3158296,
-10.0662874
],
[
0.5775572,
0.3949793,
0.3642244,
0.3276162,
0.2859525,
0.2354256,
0.1763824,
0.1060909,
0.0216847,
-0.0780803,
-0.1983609,
-0.3411629,
-0.5115004,
-0.7134943,
-0.9590388,
-1.2478376,
-1.5889713,
-1.999755,
-2.4915272,
-3.0821136,
-3.7892008,
-4.636912,
-5.6515977,
-6.8692951,
-8.3323362,
-10.0841082
],
[
0.5517931,
0.3685771,
0.3372575,
0.3002024,
0.2590133,
0.208465,
0.1496728,
0.0791521,
-0.0048472,
-0.1053198,
-0.2253366,
-0.3679446,
-0.5380619,
-0.7406498,
-0.9876262,
-1.2757854,
-1.6150811,
-2.0265999,
-2.5192176,
-3.109678,
-3.8163822,
-4.6635223,
-5.6785432,
-6.8956916,
-8.360532,
-10.1111158
],
[
0.4786696,
0.2928019,
0.261078,
0.2262588,
0.1830633,
0.1333467,
0.0741747,
0.0043161,
-0.0756404,
-0.1760844,
-0.2951242,
-0.4380166,
-0.6084747,
-0.8103256,
-1.0577424,
-1.344972,
-1.6866819,
-2.100594,
-2.5882315,
-3.1793621,
-3.8886403,
-4.7326283,
-5.7488493,
-6.9659859,
-8.4292772,
-10.1808752
],
[
0.3833652,
0.1921052,
0.1588086,
0.1177197,
0.0703731,
0.0155899,
-0.0454611,
-0.1166052,
-0.2007705,
-0.3009616,
-0.4213496,
-0.5633648,
-0.7332994,
-0.9367558,
-1.1782225,
-1.4670446,
-1.8088134,
-2.2233391,
-2.7126101,
-3.3048267,
-4.0110627,
-4.860438,
-5.8737247,
-7.090628,
-8.5499797,
-10.304656
],
[
0.2632945,
0.0741663,
0.040689,
0.0007342,
-0.0475535,
-0.1024617,
-0.1650162,
-0.2410373,
-0.3275058,
-0.4310592,
-0.5527533,
-0.6978666,
-0.8678453,
-1.0701569,
-1.3119059,
-1.6003421,
-1.9449756,
-2.3560248,
-2.8498068,
-3.4395839,
-4.1464243,
-4.9933178,
-6.0087798,
-7.2259009,
-8.6866629,
-10.4380346
],
[
-0.0975574,
-0.2853564,
-0.3202032,
-0.3616119,
-0.4088756,
-0.4637321,
-0.5282586,
-0.6024889,
-0.6910437,
-0.7944063,
-0.9162923,
-1.0609397,
-1.2324251,
-1.4366302,
-1.6785672,
-1.9674653,
-2.3125826,
-2.7271657,
-3.2205782,
-3.8109739,
-4.5183405,
-5.3656302,
-6.3802496,
-7.5971243,
-9.0582229,
-10.8068866
],
[
-0.2802571,
-0.472109,
-0.5031904,
-0.5475279,
-0.5974812,
-0.6467,
-0.7134272,
-0.786454,
-0.8740393,
-0.9792093,
-1.1013209,
-1.2463123,
-1.4178363,
-1.6227758,
-1.8680337,
-2.1567319,
-2.5007983,
-2.9133859,
-3.4095901,
-3.9985577,
-4.7059506,
-5.5508301,
-6.566038,
-7.7835333,
-9.2491651,
-10.9979364
],
[
-0.4633835,
-0.656131,
-0.6908419,
-0.7313778,
-0.7799692,
-0.8330686,
-0.9025234,
-0.9767102,
-1.0594129,
-1.1631183,
-1.2848764,
-1.432467,
-1.5988256,
-1.8072034,
-2.0553712,
-2.3444079,
-2.6906877,
-3.1054731,
-3.5959394,
-4.1887947,
-4.8952546,
-5.7419879,
-6.7523769,
-7.9724109,
-9.4321612,
-11.1833871
]
]
},
"related_pin": "SCE",
"rise_power,pwr_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0,
0.00873,
0.01047,
0.01257,
0.01509,
0.01811,
0.02173,
0.02607,
0.03128,
0.03753,
0.04504,
0.05405,
0.06486,
0.07783,
0.09339,
0.11207,
0.13448,
0.16137,
0.19364,
0.23237,
0.27884,
0.33461,
0.40153,
0.48183,
0.5782,
0.69384
],
"values": [
[
0.4647285,
0.5621616,
0.5846479,
0.6119454,
0.6456705,
0.6870439,
0.737276,
0.7981396,
0.8715284,
0.9610772,
1.0691481,
1.2005445,
1.3577085,
1.5489501,
1.7786729,
2.0545612,
2.3874689,
2.7888852,
3.2698161,
3.8456918,
4.5416711,
5.375943,
6.3750487,
7.5765815,
9.0152441,
10.7399094
],
[
0.4787514,
0.5641907,
0.5973787,
0.6258607,
0.6598733,
0.7008254,
0.7503703,
0.7983863,
0.8713698,
0.972396,
1.0805357,
1.1991028,
1.3589806,
1.5456688,
1.7774303,
2.0558783,
2.3936359,
2.7789904,
3.2534243,
3.841836,
4.5270921,
5.3611588,
6.3421025,
7.5438786,
9.0369108,
10.7639582
],
[
0.4630733,
0.5594987,
0.5952254,
0.610136,
0.6442197,
0.6981995,
0.7478286,
0.7948181,
0.8679427,
0.9558187,
1.0781129,
1.1966,
1.3558591,
1.5412888,
1.7738742,
2.0486689,
2.3873854,
2.7778758,
3.2535881,
3.8309731,
4.5224104,
5.3490408,
6.3519626,
7.5831314,
9.0265731,
10.7338676
],
[
0.4538295,
0.5507581,
0.5727258,
0.6000101,
0.6344832,
0.6760858,
0.7251842,
0.7850871,
0.8545312,
0.946853,
1.0554917,
1.1843367,
1.341043,
1.5310823,
1.7605748,
2.0351858,
2.3672966,
2.7687737,
3.2602664,
3.8446281,
4.5391477,
5.3734438,
6.3643586,
7.5307845,
9.018035,
10.7388838
],
[
0.4758355,
0.5680058,
0.5936449,
0.6166463,
0.653691,
0.6948782,
0.7436283,
0.7979212,
0.8702395,
0.9634313,
1.070286,
1.195613,
1.3524071,
1.5401374,
1.7674203,
2.0443834,
2.3787176,
2.7832974,
3.2548021,
3.8518376,
4.5320357,
5.3898816,
6.3311313,
7.5873595,
8.979338,
10.7752142
],
[
0.5177601,
0.6086936,
0.6358894,
0.6562746,
0.6887798,
0.7332338,
0.7800436,
0.8326614,
0.9023503,
0.9891332,
1.099729,
1.2199447,
1.3747543,
1.560896,
1.7875534,
2.059514,
2.3945984,
2.7895202,
3.267484,
3.8422087,
4.5307833,
5.3419279,
6.3431357,
7.5756745,
8.9759441,
10.7396622
],
[
0.663813,
0.7411612,
0.7572934,
0.7772424,
0.8065334,
0.8447701,
0.8890937,
0.9460519,
1.01365,
1.0950076,
1.1971586,
1.3183737,
1.4661931,
1.6465516,
1.8685563,
2.1357259,
2.4639733,
2.8549732,
3.3249259,
3.8989545,
4.5878718,
5.4403201,
6.4178246,
7.5808045,
9.0583092,
10.7347524
],
[
0.7930511,
0.8682308,
0.8836399,
0.9033003,
0.9296073,
0.9649176,
1.0067688,
1.0546371,
1.1161753,
1.1948689,
1.292433,
1.4199401,
1.5645029,
1.7491735,
1.9640379,
2.2206436,
2.5347014,
2.9200999,
3.3879956,
3.9532136,
4.6428473,
5.4672902,
6.4605665,
7.6626262,
9.1136504,
10.8536868
],
[
1.1196114,
1.1862182,
1.2023661,
1.2269294,
1.2516158,
1.2751649,
1.3123036,
1.3591027,
1.416773,
1.4992788,
1.5846198,
1.6983463,
1.8437962,
2.0101555,
2.2065275,
2.4586457,
2.7787443,
3.1597516,
3.6208376,
4.169584,
4.8278443,
5.6322304,
6.6141476,
7.7935878,
9.2292252,
10.9362802
],
[
1.2863162,
1.3425627,
1.3581643,
1.3782477,
1.4026964,
1.429448,
1.4636809,
1.5113931,
1.569064,
1.6425161,
1.7308964,
1.8352608,
1.9770714,
2.1434145,
2.3513822,
2.5917754,
2.8927964,
3.2699248,
3.7378504,
4.2895085,
4.9437027,
5.7474725,
6.7110437,
7.8788708,
9.2987156,
11.0086816
],
[
1.4357838,
1.4916913,
1.5067903,
1.5251025,
1.5494845,
1.5719838,
1.6131258,
1.6561769,
1.7127957,
1.7769046,
1.8667819,
1.9764988,
2.1116264,
2.279048,
2.4830385,
2.727625,
3.0239079,
3.3902017,
3.85073,
4.3995441,
5.050405,
5.8494923,
6.8093191,
7.9801122,
9.3785292,
11.1013742
]
]
}
},
{
"fall_power,pwr_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0,
0.00873,
0.01047,
0.01257,
0.01509,
0.01811,
0.02173,
0.02607,
0.03128,
0.03753,
0.04504,
0.05405,
0.06486,
0.07783,
0.09339,
0.11207,
0.13448,
0.16137,
0.19364,
0.23237,
0.27884,
0.33461,
0.40153,
0.48183,
0.5782,
0.69384
],
"values": [
[
0.2624596,
0.0916936,
0.0619294,
0.0279158,
-0.0121977,
-0.0600113,
-0.1169342,
-0.1845294,
-0.2665586,
-0.3641124,
-0.4814259,
-0.6214545,
-0.7886569,
-0.9887036,
-1.2278031,
-1.5139988,
-1.8558523,
-2.2662155,
-2.7566879,
-3.3455439,
-4.0512294,
-4.8956378,
-5.908692,
-7.1256378,
-8.5851457,
-10.3354668
],
[
0.2695222,
0.098364,
0.0692289,
0.0350309,
-0.0050197,
-0.0527489,
-0.1100533,
-0.1778184,
-0.2594661,
-0.3575715,
-0.4741578,
-0.6141646,
-0.781638,
-0.9808334,
-1.2202838,
-1.5054736,
-1.8488058,
-2.2586623,
-2.7494351,
-3.337974,
-4.0432181,
-4.8891551,
-5.9026777,
-7.1186581,
-8.5776252,
-10.3285468
],
[
0.259935,
0.0891256,
0.0593652,
0.0253326,
-0.0147615,
-0.0625825,
-0.1194121,
-0.1871324,
-0.2686284,
-0.3667851,
-0.4837719,
-0.6239594,
-0.791284,
-0.991245,
-1.230291,
-1.5163293,
-1.8585604,
-2.2682468,
-2.7591427,
-3.3475147,
-4.0530855,
-4.8986032,
-5.912703,
-7.1285785,
-8.5876857,
-10.337891
],
[
0.2361626,
0.0647254,
0.0349948,
0.0010415,
-0.0393486,
-0.0867503,
-0.1443503,
-0.2118911,
-0.2935371,
-0.3913558,
-0.5081962,
-0.6480974,
-0.8149806,
-1.0153806,
-1.2541243,
-1.5406286,
-1.8817946,
-2.2930013,
-2.7815974,
-3.373868,
-4.0756139,
-4.9199407,
-5.936872,
-7.1535386,
-8.6091984,
-10.35751
],
[
0.1963737,
0.0247255,
-0.0044346,
-0.0384597,
-0.079128,
-0.1264437,
-0.1836121,
-0.2515418,
-0.333206,
-0.430667,
-0.5476259,
-0.687802,
-0.85496,
-1.054725,
-1.2938106,
-1.5797666,
-1.9221856,
-2.3318304,
-2.8227088,
-3.4112168,
-4.1163134,
-4.9618315,
-5.9758342,
-7.1914555,
-8.650443,
-10.4007538
],
[
0.0965089,
-0.0754308,
-0.1049414,
-0.1392274,
-0.1795302,
-0.2277273,
-0.2842453,
-0.3523421,
-0.433934,
-0.5314979,
-0.648627,
-0.7887704,
-0.9560738,
-1.1560525,
-1.394946,
-1.6814112,
-2.0241099,
-2.4345858,
-2.9242188,
-3.512238,
-4.2195142,
-5.0646895,
-6.0765862,
-7.2910735,
-8.757627,
-10.5088286
],
[
-0.0583224,
-0.2386928,
-0.2716859,
-0.3106005,
-0.3560711,
-0.4090645,
-0.4673123,
-0.5355925,
-0.617808,
-0.7160722,
-0.8334968,
-0.9737686,
-1.1412332,
-1.3414151,
-1.5806318,
-1.8668596,
-2.2092437,
-2.6191562,
-3.1103427,
-3.6988216,
-4.4041118,
-5.2496106,
-6.2637403,
-7.4798166,
-8.9390977,
-10.6893955
],
[
-0.2370042,
-0.4168504,
-0.449757,
-0.4884255,
-0.5338782,
-0.5869007,
-0.6481915,
-0.7204089,
-0.8053428,
-0.9059958,
-1.0253343,
-1.1664993,
-1.3338807,
-1.5349345,
-1.774309,
-2.0603975,
-2.4030418,
-2.8128943,
-3.3042758,
-3.8931973,
-4.5980113,
-5.4449825,
-6.4586382,
-7.6750333,
-9.1337635,
-10.8844499
],
[
-0.7617857,
-0.9402915,
-0.9733813,
-1.0127043,
-1.0587776,
-1.1123815,
-1.1743108,
-1.2471962,
-1.3327597,
-1.4341166,
-1.5536251,
-1.6965842,
-1.8661588,
-2.0678767,
-2.3086433,
-2.5963621,
-2.9406157,
-3.3506967,
-3.8422265,
-4.4313831,
-5.1374045,
-5.983109,
-6.9977544,
-8.2146213,
-9.6737103,
-11.4244357
],
[
-1.0384984,
-1.223696,
-1.2571412,
-1.2965416,
-1.3429227,
-1.3967355,
-1.4588273,
-1.5331536,
-1.6188633,
-1.7214691,
-1.8415943,
-1.9843927,
-2.1533999,
-2.3559998,
-2.5980188,
-2.8859683,
-3.2303733,
-3.6424268,
-4.1340752,
-4.7216914,
-5.4284417,
-6.2748345,
-7.2897115,
-8.5062479,
-9.965944,
-11.716715
],
[
-1.3182832,
-1.5033955,
-1.5351264,
-1.5765516,
-1.6218056,
-1.6776008,
-1.7409039,
-1.8145091,
-1.901301,
-2.0030052,
-2.1248029,
-2.26831,
-2.438359,
-2.6424624,
-2.8844145,
-3.1729104,
-3.5172291,
-3.9290511,
-4.4227229,
-5.0118062,
-5.7148021,
-6.5617674,
-7.5747776,
-8.7919793,
-10.2516238,
-12.002553
]
]
},
"related_pin": "GATE",
"rise_power,pwr_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0,
0.00873,
0.01047,
0.01257,
0.01509,
0.01811,
0.02173,
0.02607,
0.03128,
0.03753,
0.04504,
0.05405,
0.06486,
0.07783,
0.09339,
0.11207,
0.13448,
0.16137,
0.19364,
0.23237,
0.27884,
0.33461,
0.40153,
0.48183,
0.5782,
0.69384
],
"values": [
[
0.2658905,
0.366337,
0.3891976,
0.4179466,
0.4531075,
0.494641,
0.5448147,
0.6067146,
0.679868,
0.7696299,
0.8780465,
1.0098046,
1.1682815,
1.3586113,
1.5866583,
1.8586677,
2.1945253,
2.6086537,
3.0824422,
3.6552679,
4.3490337,
5.1856544,
6.1887196,
7.3901752,
8.8130544,
10.6102562
],
[
0.2726143,
0.3731068,
0.3960087,
0.4244144,
0.45974,
0.5012149,
0.5515034,
0.6128186,
0.6874466,
0.7763133,
0.8853646,
1.0183062,
1.1770325,
1.3717027,
1.6015804,
1.8758623,
2.2057015,
2.6011233,
3.0797758,
3.6634406,
4.3742262,
5.1678422,
6.2008939,
7.3661784,
8.808012,
10.5930992
],
[
0.2713465,
0.3719534,
0.3945737,
0.4241316,
0.458112,
0.4998394,
0.5499939,
0.6121873,
0.6861229,
0.7775657,
0.8865763,
1.0176662,
1.1762372,
1.363082,
1.5932386,
1.8709515,
2.2019262,
2.604845,
3.084527,
3.684593,
4.3576614,
5.171745,
6.1795521,
7.4159763,
8.8246333,
10.584914
],
[
0.2417336,
0.3418608,
0.3646074,
0.3934749,
0.4283705,
0.4705584,
0.5211864,
0.5822264,
0.6561146,
0.7458779,
0.8554228,
0.9863225,
1.1447386,
1.3320976,
1.5640805,
1.8404965,
2.1731473,
2.5723178,
3.0720548,
3.6351183,
4.3540775,
5.1700879,
6.1350248,
7.3375681,
8.8215781,
10.563662
],
[
0.2010023,
0.3018695,
0.3250568,
0.3531659,
0.3881313,
0.429691,
0.4800382,
0.5412755,
0.6149335,
0.7050273,
0.8140681,
0.9448833,
1.1015704,
1.2941959,
1.5236445,
1.7996267,
2.1281712,
2.5318452,
3.0156273,
3.5921221,
4.2869075,
5.1200097,
6.1430091,
7.3131304,
8.7336894,
10.4848844
],
[
0.0991508,
0.1994575,
0.2213362,
0.2506191,
0.2858829,
0.3278735,
0.3798054,
0.43791,
0.5123078,
0.6029701,
0.7120135,
0.843618,
0.9997354,
1.1890669,
1.422057,
1.6979025,
2.0243783,
2.4269716,
2.9142214,
3.4898976,
4.1867604,
5.0226395,
6.0287318,
7.1875028,
8.6470185,
10.441647
],
[
-0.0824332,
0.0090724,
0.0298549,
0.0557122,
0.0878994,
0.1258809,
0.1751013,
0.2374803,
0.3123356,
0.4037974,
0.5120127,
0.6431855,
0.799703,
0.9888102,
1.2176352,
1.4908483,
1.8257306,
2.2298353,
2.7091316,
3.28787,
3.9830375,
4.7949297,
5.8164724,
7.0135573,
8.4611849,
10.1612302
],
[
-0.2840012,
-0.1927141,
-0.171966,
-0.1458713,
-0.112212,
-0.0720298,
-0.0225999,
0.0378015,
0.110568,
0.1984545,
0.3050838,
0.4392542,
0.5992497,
0.7891846,
1.0197913,
1.2915013,
1.6197272,
2.0153723,
2.4922474,
3.0763743,
3.7728983,
4.6366284,
5.6102541,
6.811381,
8.2315124,
10.0263328
],
[
-0.8590007,
-0.766654,
-0.7479118,
-0.7215991,
-0.6889535,
-0.648881,
-0.5997356,
-0.5399826,
-0.4658479,
-0.3761486,
-0.2687126,
-0.1376387,
0.0226112,
0.2113027,
0.4323707,
0.7048801,
1.0440528,
1.4556125,
1.9392885,
2.5171965,
3.2045637,
4.0186131,
5.0017971,
6.207992,
7.6863788,
9.3915538
],
[
-1.1537849,
-1.0672183,
-1.0476255,
-1.0172021,
-0.9863607,
-0.9503879,
-0.8997403,
-0.8397384,
-0.7671929,
-0.6788156,
-0.5702913,
-0.4376123,
-0.2810008,
-0.0892328,
0.1422778,
0.415774,
0.7404453,
1.1336894,
1.6389727,
2.2286273,
2.9167496,
3.7211591,
4.731593,
5.8984365,
7.3775988,
9.1301288
],
[
-1.4550269,
-1.365382,
-1.3471657,
-1.3175335,
-1.2867296,
-1.247745,
-1.2006505,
-1.1448078,
-1.0706219,
-0.9820977,
-0.8729365,
-0.7408644,
-0.5808403,
-0.3892765,
-0.1595846,
0.1165045,
0.4436278,
0.8222897,
1.3049479,
1.8904045,
2.5957496,
3.4454345,
4.4434512,
5.6211654,
7.037383,
8.768309
]
]
}
}
],
"max_capacitance": 0.687228,
"max_transition": 3.791037,
"output_voltage": "GENERAL",
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"cell_fall,delay_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0,
0.00873,
0.01047,
0.01257,
0.01509,
0.01811,
0.02173,
0.02607,
0.03128,
0.03753,
0.04504,
0.05405,
0.06486,
0.07783,
0.09339,
0.11207,
0.13448,
0.16137,
0.19364,
0.23237,
0.27884,
0.33461,
0.40153,
0.48183,
0.5782,
0.69384
],
"values": [
[
0.255843,
0.3340923,
0.3460109,
0.3593277,
0.374414,
0.3915251,
0.4111329,
0.4336726,
0.4593006,
0.4893238,
0.5244515,
0.5655987,
0.6134823,
0.6702067,
0.737281,
0.8169859,
0.912116,
1.0260846,
1.1627342,
1.327166,
1.5246001,
1.7613295,
2.0471949,
2.3911537,
2.8010372,
3.2996215
],
[
0.255819,
0.3343165,
0.3460367,
0.359486,
0.3746322,
0.3918227,
0.4112203,
0.4336292,
0.4597209,
0.4894664,
0.5246625,
0.5655891,
0.6136163,
0.6704256,
0.7374017,
0.8170755,
0.9121943,
1.0260915,
1.1627879,
1.3269461,
1.5242893,
1.7619346,
2.0473707,
2.3898277,
2.8038931,
3.2958181
],
[
0.2563108,
0.3347293,
0.3465825,
0.360007,
0.3750872,
0.3923334,
0.4117132,
0.4341546,
0.4597842,
0.4901744,
0.5249677,
0.5659567,
0.6141673,
0.6707149,
0.7378353,
0.8175386,
0.9126735,
1.0266193,
1.1633551,
1.3276262,
1.5248012,
1.7621976,
2.0481381,
2.3904434,
2.8032421,
3.2945513
],
[
0.2702516,
0.3487665,
0.3605832,
0.3739378,
0.3890378,
0.4061648,
0.4258265,
0.4481995,
0.4739667,
0.5043262,
0.5390923,
0.5800876,
0.628308,
0.6848485,
0.751967,
0.8316821,
0.9268257,
1.0407757,
1.1775201,
1.3417988,
1.5390366,
1.7763222,
2.0621964,
2.4049545,
2.8168234,
3.3099947
],
[
0.3155882,
0.3939988,
0.4057784,
0.41915,
0.4342469,
0.451344,
0.4707265,
0.4931922,
0.5190656,
0.5488597,
0.5840123,
0.6248535,
0.672858,
0.7296233,
0.7965719,
0.8762061,
0.9712862,
1.0851586,
1.2218504,
1.3861506,
1.5837022,
1.8212499,
2.1060407,
2.4492546,
2.8622538,
3.3557421
],
[
0.3962255,
0.4741619,
0.4857296,
0.4989265,
0.5138275,
0.5307063,
0.5498687,
0.5721183,
0.5976562,
0.6273206,
0.6622657,
0.7026955,
0.7505795,
0.8070281,
0.873699,
0.9531388,
1.0480176,
1.1617083,
1.298226,
1.4623539,
1.6596408,
1.8964326,
2.1816591,
2.5255888,
2.934881,
3.4340943
],
[
0.5477348,
0.6274967,
0.6390746,
0.6522554,
0.6671373,
0.6839171,
0.703081,
0.7254177,
0.750655,
0.7799186,
0.8141793,
0.8544068,
0.9015985,
0.9575218,
1.0236537,
1.102372,
1.1965108,
1.3094305,
1.4451951,
1.6085987,
1.8053162,
2.042176,
2.3269806,
2.6683964,
3.0828158,
3.5743448
],
[
0.6892394,
0.7726498,
0.7844125,
0.797693,
0.8126469,
0.8294904,
0.8490876,
0.8708655,
0.8962663,
0.9257111,
0.9596187,
0.999497,
1.0466263,
1.1020745,
1.1676903,
1.2458982,
1.3394008,
1.4515965,
1.5865448,
1.7491049,
1.9450068,
2.1810913,
2.4653899,
2.8055904,
3.2192347,
3.7094281
],
[
1.0394802,
1.1330321,
1.1459287,
1.1603256,
1.176903,
1.195109,
1.2162192,
1.240028,
1.2670573,
1.298089,
1.3332994,
1.3741236,
1.4220461,
1.4785159,
1.5442545,
1.622513,
1.7153003,
1.8261264,
1.9593436,
2.1199522,
2.3136917,
2.5475726,
2.8292855,
3.1677951,
3.5772996,
4.069125
],
[
1.2069258,
1.3041687,
1.317646,
1.3325481,
1.3498226,
1.3691023,
1.3906879,
1.4152751,
1.443015,
1.4749264,
1.5114433,
1.5530004,
1.6023232,
1.6589946,
1.7267765,
1.8057958,
1.8997035,
2.0113466,
2.1444582,
2.3043458,
2.497182,
2.7298661,
3.0105876,
3.3489591,
3.7567029,
4.2474011
],
[
1.3683712,
1.4688086,
1.482529,
1.4985055,
1.5160933,
1.5358806,
1.5580098,
1.5833594,
1.6120856,
1.6450059,
1.6821907,
1.7254432,
1.7748149,
1.8326504,
1.9016708,
1.9818069,
2.0770383,
2.1902349,
2.3246174,
2.4850284,
2.6774529,
2.909373,
3.1889913,
3.5263448,
3.9330477,
4.4226249
]
]
},
"cell_rise,delay_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0,
0.00873,
0.01047,
0.01257,
0.01509,
0.01811,
0.02173,
0.02607,
0.03128,
0.03753,
0.04504,
0.05405,
0.06486,
0.07783,
0.09339,
0.11207,
0.13448,
0.16137,
0.19364,
0.23237,
0.27884,
0.33461,
0.40153,
0.48183,
0.5782,
0.69384
],
"values": [
[
0.1867508,
0.2466082,
0.2558036,
0.2664266,
0.2786664,
0.292829,
0.3093245,
0.3285922,
0.351113,
0.3773719,
0.4079936,
0.4438843,
0.4860805,
0.5361441,
0.5957526,
0.6670285,
0.7524717,
0.8551829,
0.9789618,
1.1279242,
1.3032316,
1.5226113,
1.7726709,
2.086169,
2.4556446,
2.891728
],
[
0.1890297,
0.2487857,
0.2579841,
0.2686118,
0.2808531,
0.2950169,
0.3115754,
0.3308577,
0.3532846,
0.3795428,
0.4101621,
0.4460477,
0.4882251,
0.5384626,
0.5979571,
0.6692548,
0.7524905,
0.8544488,
0.978123,
1.1264272,
1.3026938,
1.5192985,
1.7719347,
2.079084,
2.4629285,
2.9014668
],
[
0.1893089,
0.2491842,
0.2583236,
0.2689644,
0.2812124,
0.2954003,
0.3119827,
0.3311954,
0.3537388,
0.3799561,
0.4105603,
0.4464556,
0.4885589,
0.5387199,
0.5983081,
0.6694539,
0.7550564,
0.8580247,
0.9812267,
1.1304322,
1.3069787,
1.524047,
1.7752717,
2.0824449,
2.4590927,
2.8989595
],
[
0.1912969,
0.2510138,
0.2602697,
0.2709456,
0.2832223,
0.2974221,
0.3137794,
0.3331295,
0.3555567,
0.3817963,
0.4124835,
0.4483425,
0.4906232,
0.5405145,
0.6001045,
0.6715362,
0.757138,
0.8594502,
0.9831798,
1.1323761,
1.3083894,
1.5260107,
1.7774553,
2.0850475,
2.4610383,
2.8956715
],
[
0.2030702,
0.2630195,
0.2721777,
0.2825507,
0.2945346,
0.3089724,
0.3253947,
0.3444937,
0.3668372,
0.3927142,
0.4235868,
0.4593215,
0.5014991,
0.5512398,
0.6107522,
0.6823688,
0.7676226,
0.8697764,
0.9934669,
1.1425316,
1.3184556,
1.5364456,
1.7934053,
2.095067,
2.4714033,
2.9075111
],
[
0.2164045,
0.2791252,
0.2881303,
0.2982892,
0.3106736,
0.3247879,
0.3414744,
0.3601171,
0.3825549,
0.4088753,
0.4385237,
0.4739229,
0.5158286,
0.5660811,
0.6252556,
0.695604,
0.7806996,
0.8828328,
1.0063711,
1.1551535,
1.3301433,
1.5495255,
1.7993789,
2.1054489,
2.4911571,
2.918122
],
[
0.2137268,
0.2825625,
0.2921427,
0.3033254,
0.3163526,
0.3312059,
0.3486533,
0.3684057,
0.3911889,
0.417512,
0.4490483,
0.4852775,
0.525614,
0.5751368,
0.6337563,
0.7055394,
0.7895318,
0.8904269,
1.0111895,
1.1583692,
1.335861,
1.5486875,
1.8065492,
2.1103597,
2.4969882,
2.9232876
],
[
0.191031,
0.2657943,
0.2763996,
0.2888285,
0.302357,
0.3185763,
0.3365555,
0.356872,
0.3799132,
0.4057123,
0.4396375,
0.474616,
0.5189434,
0.5691881,
0.6288181,
0.6991138,
0.7828747,
0.881605,
1.0049175,
1.1515685,
1.3258948,
1.5404212,
1.7942373,
2.1023052,
2.4757618,
2.9087337
],
[
0.0773132,
0.1650674,
0.1780728,
0.193933,
0.210037,
0.226678,
0.2456213,
0.2702947,
0.2952168,
0.3259295,
0.3592076,
0.3978746,
0.4405331,
0.4922837,
0.5537187,
0.6264453,
0.715967,
0.8192781,
0.9435875,
1.0920779,
1.2697779,
1.480698,
1.7343533,
2.0401918,
2.4045532,
2.8452241
],
[
0.0024204,
0.0984345,
0.1115848,
0.1256687,
0.1432621,
0.1624067,
0.1845146,
0.20781,
0.2346261,
0.2662308,
0.299666,
0.3410303,
0.3863567,
0.4393073,
0.5014476,
0.5745671,
0.6628491,
0.767808,
0.8938419,
1.0446064,
1.2249989,
1.4399378,
1.695315,
2.0050221,
2.3648763,
2.8008429
],
[
-0.0751967,
0.0248105,
0.0403523,
0.0548334,
0.0717695,
0.0926009,
0.1152806,
0.1407423,
0.1669874,
0.201683,
0.2385527,
0.2789693,
0.3234596,
0.3754186,
0.4393476,
0.5146603,
0.6024276,
0.7087957,
0.8359707,
0.988262,
1.1704412,
1.3862371,
1.6471517,
1.9528268,
2.3186839,
2.7573173
]
]
},
"fall_transition,delay_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0,
0.00873,
0.01047,
0.01257,
0.01509,
0.01811,
0.02173,
0.02607,
0.03128,
0.03753,
0.04504,
0.05405,
0.06486,
0.07783,
0.09339,
0.11207,
0.13448,
0.16137,
0.19364,
0.23237,
0.27884,
0.33461,
0.40153,
0.48183,
0.5782,
0.69384
],
"values": [
[
0.0673588,
0.1356647,
0.1453075,
0.1564287,
0.1686178,
0.1838332,
0.2003157,
0.2202454,
0.2448523,
0.2744862,
0.3098518,
0.3526411,
0.404183,
0.4682485,
0.5462193,
0.6406269,
0.7581258,
0.8990235,
1.0725381,
1.2705865,
1.535427,
1.8271379,
2.1888718,
2.6304012,
3.1377754,
3.7760945
],
[
0.0679864,
0.1357628,
0.1456536,
0.1559917,
0.169249,
0.1831204,
0.2008215,
0.2202088,
0.2442651,
0.2743018,
0.3096402,
0.3530642,
0.4051698,
0.4689655,
0.5469031,
0.6429021,
0.7590384,
0.9016936,
1.0726388,
1.2822913,
1.5303904,
1.834786,
2.1909727,
2.6209797,
3.1485203,
3.7595851
],
[
0.0678187,
0.1361437,
0.1454367,
0.1561362,
0.1698507,
0.1835799,
0.2002073,
0.2209275,
0.2444331,
0.2731214,
0.3105219,
0.3533385,
0.4048565,
0.4687769,
0.5472564,
0.6423017,
0.7570373,
0.900276,
1.0749938,
1.2829665,
1.5243194,
1.8319797,
2.1885944,
2.6253097,
3.1422847,
3.7753431
],
[
0.0687073,
0.1362193,
0.1451225,
0.1565476,
0.1688885,
0.1838154,
0.2001738,
0.2207749,
0.244333,
0.2732385,
0.3105599,
0.3532651,
0.4047927,
0.4688735,
0.5473037,
0.6424188,
0.7575021,
0.8996252,
1.0749369,
1.2796766,
1.5365449,
1.8215299,
2.1886366,
2.6203573,
3.1342007,
3.7705692
],
[
0.0679384,
0.1361742,
0.1456686,
0.1562212,
0.1692898,
0.1830848,
0.2012068,
0.2204424,
0.2448274,
0.2744421,
0.3097871,
0.3533887,
0.4053688,
0.4690851,
0.5469468,
0.6429197,
0.7595121,
0.9006028,
1.0733742,
1.2823512,
1.5206998,
1.8304736,
2.1875612,
2.6251321,
3.1430185,
3.7609773
],
[
0.0719174,
0.1376655,
0.1477027,
0.1578747,
0.1708907,
0.1846035,
0.2028008,
0.2219615,
0.246424,
0.275594,
0.3106579,
0.3549154,
0.4065359,
0.4699264,
0.5479384,
0.6429956,
0.7600009,
0.9009825,
1.071912,
1.2746442,
1.5362027,
1.83062,
2.1975692,
2.6159412,
3.1377381,
3.7552139
],
[
0.078977,
0.1473505,
0.1567588,
0.1671499,
0.1788194,
0.1929358,
0.2102453,
0.2299063,
0.2533566,
0.2831849,
0.3178536,
0.3608597,
0.4114917,
0.4738602,
0.5507234,
0.6457114,
0.7619179,
0.9023904,
1.0749164,
1.2805953,
1.5262427,
1.8415378,
2.1945614,
2.6215483,
3.149172,
3.7667265
],
[
0.0922399,
0.1613943,
0.1698271,
0.1812532,
0.1922947,
0.2067764,
0.2210928,
0.2409484,
0.2650019,
0.2922742,
0.323944,
0.3658322,
0.417698,
0.4804615,
0.5569826,
0.6503286,
0.7648354,
0.9054284,
1.0755208,
1.2830118,
1.5309886,
1.8352215,
2.1842517,
2.6348852,
3.136581,
3.7807584
],
[
0.1277899,
0.2003623,
0.2095124,
0.2207873,
0.2333521,
0.2469235,
0.2655173,
0.2820624,
0.3056977,
0.3315688,
0.366351,
0.4079355,
0.456424,
0.5153165,
0.5891424,
0.6764083,
0.7825688,
0.9162114,
1.0840134,
1.2875647,
1.5349858,
1.8438567,
2.2015143,
2.6296729,
3.1295351,
3.7664636
],
[
0.1425224,
0.2181296,
0.2279093,
0.2386682,
0.2526778,
0.2667781,
0.2828759,
0.3034034,
0.3249883,
0.3523914,
0.3887912,
0.4281509,
0.4772508,
0.5384585,
0.6112947,
0.6960779,
0.8041727,
0.9347436,
1.0942584,
1.2935372,
1.5374557,
1.8368473,
2.196719,
2.6284441,
3.1291134,
3.7621404
],
[
0.1571522,
0.2337083,
0.2438886,
0.2553813,
0.2695951,
0.2838806,
0.3015848,
0.3221805,
0.3488316,
0.371813,
0.4048037,
0.4472517,
0.4975703,
0.5576948,
0.6316461,
0.7167675,
0.8260145,
0.9556571,
1.1164595,
1.308763,
1.5434941,
1.8394581,
2.1984421,
2.6258753,
3.1442322,
3.7572567
]
]
},
"related_pin": "D",
"rise_transition,delay_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0,
0.00873,
0.01047,
0.01257,
0.01509,
0.01811,
0.02173,
0.02607,
0.03128,
0.03753,
0.04504,
0.05405,
0.06486,
0.07783,
0.09339,
0.11207,
0.13448,
0.16137,
0.19364,
0.23237,
0.27884,
0.33461,
0.40153,
0.48183,
0.5782,
0.69384
],
"values": [
[
0.0424777,
0.0983871,
0.1073276,
0.1176533,
0.1305044,
0.1459374,
0.1643515,
0.1864199,
0.2120899,
0.2437402,
0.2814076,
0.326958,
0.382081,
0.4484218,
0.5285884,
0.6268633,
0.7452878,
0.8896046,
1.063212,
1.2710148,
1.5263888,
1.8264219,
2.1899358,
2.6255964,
3.1420937,
3.7737209
],
[
0.042462,
0.098372,
0.1071345,
0.1176453,
0.1305121,
0.145925,
0.165093,
0.186364,
0.2120967,
0.2437416,
0.2813819,
0.3268998,
0.3820778,
0.4483799,
0.5281763,
0.6271599,
0.7468591,
0.8891073,
1.0642262,
1.2711211,
1.5231731,
1.8291845,
2.1835536,
2.6220364,
3.1552077,
3.7664654
],
[
0.0424752,
0.0983651,
0.1070273,
0.1176569,
0.130589,
0.1458863,
0.1650979,
0.1864183,
0.2120992,
0.2436729,
0.2813742,
0.3270529,
0.3820303,
0.4485283,
0.5290323,
0.6262634,
0.7441998,
0.8886767,
1.0624369,
1.2730343,
1.5238479,
1.8278372,
2.1854327,
2.6131086,
3.1435585,
3.7719632
],
[
0.042463,
0.0979894,
0.1074013,
0.1177279,
0.1305443,
0.145812,
0.1643772,
0.1863557,
0.2121704,
0.2437348,
0.2813253,
0.3268219,
0.3817547,
0.4485061,
0.5291649,
0.6271035,
0.7457866,
0.8892845,
1.0620983,
1.2729193,
1.5240766,
1.8275104,
2.1900126,
2.6161959,
3.1541887,
3.7742067
],
[
0.0436413,
0.0986072,
0.1074945,
0.1180632,
0.1311799,
0.1465819,
0.1647853,
0.1868245,
0.2126442,
0.2440625,
0.2815479,
0.3270081,
0.3820155,
0.4486489,
0.528701,
0.6255865,
0.7460749,
0.8893878,
1.0619901,
1.2728479,
1.5237845,
1.8263957,
2.1937872,
2.616769,
3.1466687,
3.7725205
],
[
0.0480486,
0.1058025,
0.1136215,
0.1233932,
0.135891,
0.1510777,
0.1693958,
0.190803,
0.2163326,
0.2471763,
0.2844362,
0.3297543,
0.3841484,
0.4503121,
0.5303611,
0.6276397,
0.7468652,
0.8886778,
1.0630719,
1.2728418,
1.5248651,
1.8267565,
2.1899764,
2.6174343,
3.1528566,
3.7736094
],
[
0.061521,
0.1208953,
0.1304557,
0.1416005,
0.1533006,
0.1678832,
0.1860166,
0.2063911,
0.2314526,
0.2604268,
0.2969833,
0.3406355,
0.3936693,
0.4600164,
0.5360466,
0.6329423,
0.7500866,
0.8909628,
1.0629623,
1.2731121,
1.5286135,
1.8264565,
2.1878328,
2.6178175,
3.1478569,
3.7728611
],
[
0.0726373,
0.1372249,
0.1462932,
0.1574753,
0.1708025,
0.1842258,
0.2011815,
0.2223689,
0.2469404,
0.2765289,
0.3124371,
0.3561503,
0.4088198,
0.4727572,
0.5498727,
0.6441667,
0.7600488,
0.8991668,
1.0688821,
1.2738512,
1.5265024,
1.8245706,
2.1932509,
2.621795,
3.1510561,
3.7685592
],
[
0.1006158,
0.1738721,
0.1857377,
0.1971015,
0.2111409,
0.2297972,
0.2455517,
0.263759,
0.2881166,
0.3168771,
0.3510844,
0.3936099,
0.4458873,
0.510316,
0.5875293,
0.6841195,
0.7975682,
0.936406,
1.1070015,
1.3066789,
1.5496446,
1.8448807,
2.2021578,
2.6286266,
3.1453533,
3.7625023
],
[
0.1124259,
0.1899527,
0.2007043,
0.2180728,
0.2310757,
0.2486747,
0.2634782,
0.2853526,
0.3090637,
0.3354582,
0.3703756,
0.4118884,
0.4634033,
0.5270327,
0.6036964,
0.6996415,
0.8156419,
0.9568881,
1.1259097,
1.3309911,
1.5795484,
1.86737,
2.2236448,
2.6480818,
3.1554996,
3.773732
],
[
0.1260993,
0.2043543,
0.2167365,
0.2320095,
0.2490305,
0.2668919,
0.2832848,
0.3027614,
0.3291436,
0.354457,
0.3875575,
0.4287784,
0.4804966,
0.5434641,
0.6194399,
0.7146471,
0.8305611,
0.9713734,
1.1441449,
1.3491153,
1.6039061,
1.8888116,
2.2391347,
2.6735587,
3.1745961,
3.7834917
]
]
},
"timing_sense": "positive_unate"
},
{
"cell_fall,delay_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0,
0.00873,
0.01047,
0.01257,
0.01509,
0.01811,
0.02173,
0.02607,
0.03128,
0.03753,
0.04504,
0.05405,
0.06486,
0.07783,
0.09339,
0.11207,
0.13448,
0.16137,
0.19364,
0.23237,
0.27884,
0.33461,
0.40153,
0.48183,
0.5782,
0.69384
],
"values": [
[
0.2740119,
0.3529681,
0.3647763,
0.3781936,
0.3934573,
0.4105569,
0.4302439,
0.4525881,
0.4788126,
0.5086787,
0.5439313,
0.5847301,
0.633172,
0.6897801,
0.7568724,
0.8365848,
0.9317153,
1.0456109,
1.1822487,
1.346569,
1.5441466,
1.7815305,
2.0671194,
2.408272,
2.8224445,
3.3131087
],
[
0.2738702,
0.3551152,
0.3670134,
0.380391,
0.3929926,
0.4102633,
0.4299413,
0.452154,
0.4781585,
0.5083976,
0.5435308,
0.5843847,
0.6328205,
0.689351,
0.7565243,
0.8362647,
0.9314017,
1.0453359,
1.1820372,
1.3462328,
1.543356,
1.7838512,
2.0695761,
2.411638,
2.823537,
3.3198555
],
[
0.2766886,
0.3559146,
0.367652,
0.3810445,
0.396081,
0.4131077,
0.432623,
0.4552556,
0.4808577,
0.5108595,
0.5459928,
0.5872505,
0.6353754,
0.6920095,
0.7592508,
0.8389967,
0.934018,
1.0479436,
1.1847171,
1.3489441,
1.5462164,
1.7839192,
2.0695601,
2.4112754,
2.8246119,
3.3179279
],
[
0.2810565,
0.3601007,
0.3719213,
0.3852837,
0.4003428,
0.4174788,
0.436996,
0.4595536,
0.4852581,
0.5153673,
0.5505016,
0.5918929,
0.6397129,
0.6965467,
0.7636331,
0.8435416,
0.9385777,
1.0524962,
1.18905,
1.3533937,
1.5507376,
1.7888896,
2.0743678,
2.4171063,
2.8289218,
3.3213412
],
[
0.3101082,
0.3895246,
0.4011277,
0.4145627,
0.4297441,
0.4463562,
0.466411,
0.4890136,
0.5147791,
0.544148,
0.5798205,
0.6207581,
0.668308,
0.7251203,
0.7925659,
0.8722887,
0.9674487,
1.0812148,
1.2175515,
1.3821689,
1.5794355,
1.8164993,
2.1022615,
2.443542,
2.8572145,
3.3494543
],
[
0.3701117,
0.4486975,
0.460269,
0.4734663,
0.4885052,
0.5055045,
0.5249976,
0.5473458,
0.5728759,
0.6022584,
0.6376267,
0.6778879,
0.7257517,
0.7820742,
0.8488929,
0.9285027,
1.0234332,
1.1368241,
1.2734374,
1.4377685,
1.6346916,
1.8717941,
2.1573985,
2.5001703,
2.9121086,
3.4067704
],
[
0.4899759,
0.571326,
0.5828801,
0.5962366,
0.6111706,
0.6281199,
0.6475977,
0.6695882,
0.6950836,
0.7252104,
0.7591757,
0.7995672,
0.8469154,
0.9030272,
0.9687996,
1.0476517,
1.1419069,
1.254461,
1.390259,
1.553532,
1.7502307,
1.986924,
2.2706552,
2.6121336,
3.0266043,
3.5201759
],
[
0.5980609,
0.6852822,
0.6976608,
0.7113943,
0.7268341,
0.7444355,
0.7641895,
0.7872568,
0.8132454,
0.8432017,
0.8781971,
0.9182942,
0.9649127,
1.0206374,
1.0862649,
1.1645032,
1.2581904,
1.3705483,
1.5045988,
1.6668938,
1.8626585,
2.0966731,
2.3817033,
2.7248805,
3.1350653,
3.6270025
],
[
0.8565884,
0.9545658,
0.9688215,
0.9834585,
1.0013489,
1.0212545,
1.0437611,
1.0688673,
1.0975004,
1.1297918,
1.1679326,
1.2109171,
1.2611147,
1.3189979,
1.3869029,
1.4675493,
1.5628491,
1.6745527,
1.8086058,
1.9682067,
2.1622366,
2.3952218,
2.6766993,
3.0150802,
3.4237406,
3.9137369
],
[
0.9767803,
1.0786724,
1.0935877,
1.1096286,
1.1272128,
1.147952,
1.1714075,
1.197879,
1.2278876,
1.2615233,
1.3005204,
1.345977,
1.3971149,
1.4568766,
1.5270756,
1.6105904,
1.7072705,
1.8220002,
1.9571917,
2.1189683,
2.3098459,
2.5424873,
2.8236347,
3.1609868,
3.5687477,
4.056524
],
[
1.0930923,
1.1974999,
1.2117292,
1.2274326,
1.2476615,
1.2682885,
1.293495,
1.3200757,
1.3510071,
1.386918,
1.4253659,
1.4726574,
1.5262557,
1.5871051,
1.6592665,
1.7440002,
1.8431499,
1.9596713,
2.0994877,
2.2635585,
2.4579196,
2.6903371,
2.969248,
3.3048004,
3.7101955,
4.1992645
]
]
},
"cell_rise,delay_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0,
0.00873,
0.01047,
0.01257,
0.01509,
0.01811,
0.02173,
0.02607,
0.03128,
0.03753,
0.04504,
0.05405,
0.06486,
0.07783,
0.09339,
0.11207,
0.13448,
0.16137,
0.19364,
0.23237,
0.27884,
0.33461,
0.40153,
0.48183,
0.5782,
0.69384
],
"values": [
[
0.1998166,
0.2603506,
0.2694565,
0.2800823,
0.2923751,
0.3065917,
0.3230843,
0.3423625,
0.3648241,
0.3910181,
0.4215716,
0.4573918,
0.4995862,
0.5496726,
0.6092435,
0.6805235,
0.7659635,
0.868721,
0.9922548,
1.1392833,
1.3187673,
1.5326588,
1.7902372,
2.0980553,
2.4706031,
2.904984
],
[
0.2005994,
0.2608601,
0.2699933,
0.2836451,
0.2958879,
0.309972,
0.3236613,
0.3429079,
0.3653459,
0.3915404,
0.4249636,
0.4578319,
0.5029646,
0.552951,
0.612626,
0.6838698,
0.7692741,
0.8719355,
0.9955842,
1.1423816,
1.3224636,
1.5356209,
1.7928099,
2.1014352,
2.4687532,
2.9151821
],
[
0.2030871,
0.2635173,
0.2674996,
0.2835434,
0.2957111,
0.3098577,
0.3210317,
0.3455292,
0.368065,
0.3889588,
0.4248004,
0.4606211,
0.5028569,
0.5528282,
0.6124865,
0.683819,
0.7692501,
0.8710597,
0.9943512,
1.1434766,
1.3217225,
1.5350123,
1.7959315,
2.0990771,
2.4696449,
2.9157545
],
[
0.2049362,
0.2652703,
0.274523,
0.285284,
0.2976291,
0.3117697,
0.3280477,
0.3472658,
0.369796,
0.395984,
0.4266428,
0.4624392,
0.504697,
0.5547606,
0.6143424,
0.6855876,
0.7711039,
0.8738585,
0.9959908,
1.1445772,
1.3236416,
1.5376446,
1.7955702,
2.0984068,
2.4753644,
2.9167948
],
[
0.2189035,
0.2792818,
0.2884241,
0.2992972,
0.3112462,
0.3253785,
0.3415918,
0.3606394,
0.3830894,
0.4092815,
0.4398444,
0.4751955,
0.5175744,
0.5675702,
0.6270601,
0.698152,
0.7834235,
0.8862625,
1.0097581,
1.1563371,
1.3365472,
1.5496596,
1.8104799,
2.1147455,
2.4837643,
2.9286193
],
[
0.2393485,
0.3022461,
0.3111207,
0.3222549,
0.3334986,
0.347704,
0.3645659,
0.383797,
0.4059727,
0.4315748,
0.4615045,
0.4975456,
0.5394969,
0.5883822,
0.648476,
0.7193261,
0.8032631,
0.9059554,
1.0294354,
1.1771026,
1.3533629,
1.5687516,
1.8255333,
2.1352398,
2.5053539,
2.9452634
],
[
0.256032,
0.325759,
0.3345311,
0.3430387,
0.3595792,
0.3749849,
0.3916839,
0.4110168,
0.4324786,
0.459998,
0.4908252,
0.5242612,
0.5673176,
0.617365,
0.67565,
0.7457144,
0.8290777,
0.930912,
1.0538153,
1.201148,
1.3775406,
1.5887436,
1.8491912,
2.1543254,
2.5226441,
2.965622
],
[
0.2532481,
0.3275264,
0.339877,
0.3510479,
0.3661013,
0.3810884,
0.3999361,
0.4169429,
0.4440296,
0.4700533,
0.4984805,
0.5352008,
0.5803867,
0.6302876,
0.6892557,
0.7596083,
0.8433938,
0.9438343,
1.0647915,
1.2103152,
1.3861833,
1.5977789,
1.8509976,
2.1612596,
2.5300402,
2.9747549
],
[
0.2003916,
0.293005,
0.3050424,
0.3181816,
0.3352196,
0.3544699,
0.3728581,
0.3963809,
0.423056,
0.4538299,
0.4856501,
0.5246434,
0.5695478,
0.6209964,
0.6829178,
0.7551282,
0.8403279,
0.9448779,
1.0686385,
1.2162605,
1.3916553,
1.5999301,
1.8497034,
2.1534643,
2.522575,
2.9601552
],
[
0.1618595,
0.2611024,
0.2741551,
0.2899935,
0.3065159,
0.3247531,
0.3470237,
0.3707835,
0.3976442,
0.4285522,
0.4636313,
0.5030285,
0.548816,
0.6020782,
0.6635956,
0.7363458,
0.8246579,
0.9284256,
1.0558263,
1.2042668,
1.3828771,
1.5931869,
1.8473942,
2.1466058,
2.51274,
2.9527909
],
[
0.1180329,
0.2221051,
0.2362343,
0.2534699,
0.2715221,
0.2907724,
0.313108,
0.3391044,
0.3671877,
0.3997327,
0.4373323,
0.4772317,
0.5232572,
0.5763303,
0.6392249,
0.7128809,
0.8016783,
0.9075089,
1.033325,
1.1845595,
1.3643477,
1.5811601,
1.8357915,
2.1372133,
2.502645,
2.9401639
]
]
},
"fall_transition,delay_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0,
0.00873,
0.01047,
0.01257,
0.01509,
0.01811,
0.02173,
0.02607,
0.03128,
0.03753,
0.04504,
0.05405,
0.06486,
0.07783,
0.09339,
0.11207,
0.13448,
0.16137,
0.19364,
0.23237,
0.27884,
0.33461,
0.40153,
0.48183,
0.5782,
0.69384
],
"values": [
[
0.0707943,
0.1372997,
0.1463697,
0.1580385,
0.1710795,
0.1855684,
0.2022011,
0.2215808,
0.2449255,
0.2751423,
0.3103472,
0.3539523,
0.406069,
0.4694642,
0.5470138,
0.6429944,
0.7596277,
0.9008981,
1.0739929,
1.2795679,
1.536007,
1.8406856,
2.1860758,
2.6138567,
3.1419771,
3.7562566
],
[
0.0686555,
0.1373188,
0.1465895,
0.1572375,
0.1706061,
0.184643,
0.2021034,
0.2219538,
0.2461411,
0.2750839,
0.3113733,
0.3541575,
0.4062292,
0.4697712,
0.5481496,
0.6423749,
0.757595,
0.9015627,
1.0746208,
1.2828628,
1.532572,
1.8246423,
2.1915552,
2.6218416,
3.1313603,
3.7672905
],
[
0.0682058,
0.1369361,
0.1463378,
0.157169,
0.1704718,
0.1850629,
0.2021255,
0.2207649,
0.2461262,
0.2756591,
0.3110399,
0.3536075,
0.4059827,
0.4696352,
0.5477726,
0.64332,
0.7591514,
0.8995288,
1.0753617,
1.2828545,
1.5351403,
1.8322921,
2.1895064,
2.6268241,
3.1424108,
3.7637706
],
[
0.0686867,
0.1374743,
0.1466144,
0.157657,
0.1701564,
0.1846626,
0.2018092,
0.2221821,
0.2455949,
0.2756001,
0.3116311,
0.3535334,
0.4054879,
0.4691636,
0.547838,
0.6438044,
0.7597224,
0.9005288,
1.0739885,
1.2795306,
1.5295867,
1.8349572,
2.1859123,
2.6160696,
3.1378407,
3.7614325
],
[
0.0685786,
0.1376291,
0.1468326,
0.1580196,
0.1701325,
0.1834557,
0.202469,
0.221414,
0.2460809,
0.275676,
0.3111948,
0.3545743,
0.4064462,
0.470172,
0.5474751,
0.6425052,
0.7592799,
0.9008627,
1.0750218,
1.2829307,
1.5338134,
1.8257973,
2.1875421,
2.6388269,
3.1378795,
3.7607957
],
[
0.071521,
0.1394372,
0.1487227,
0.1600302,
0.1725885,
0.1866011,
0.2026256,
0.2233341,
0.2480727,
0.2770032,
0.3119815,
0.3563717,
0.4072827,
0.4707896,
0.5493664,
0.643043,
0.7592402,
0.9018722,
1.0754898,
1.2865412,
1.5338619,
1.8187105,
2.1903774,
2.6202356,
3.1469329,
3.7726311
],
[
0.0838809,
0.151942,
0.1607681,
0.1722098,
0.1841118,
0.1987545,
0.2129345,
0.2326107,
0.2555748,
0.2850231,
0.320539,
0.3634992,
0.4144647,
0.4766507,
0.553301,
0.6473543,
0.7624533,
0.9038817,
1.0755502,
1.2806924,
1.5267677,
1.8289757,
2.1857516,
2.6272013,
3.1371823,
3.771524
],
[
0.099377,
0.1707674,
0.1805429,
0.1905789,
0.2017346,
0.2156206,
0.2315882,
0.2505979,
0.2752172,
0.3023481,
0.3342319,
0.374289,
0.4229064,
0.4855074,
0.5616144,
0.6537261,
0.7670739,
0.9059359,
1.0778617,
1.2845044,
1.5338772,
1.8179852,
2.1862796,
2.6300195,
3.1481768,
3.7656154
],
[
0.1346965,
0.2150005,
0.2242532,
0.236634,
0.2493155,
0.2653965,
0.2827891,
0.3027124,
0.3286221,
0.3546955,
0.388053,
0.4314471,
0.4828839,
0.5397814,
0.6146345,
0.7004223,
0.8045013,
0.9346809,
1.0958939,
1.2955198,
1.5409612,
1.8386666,
2.2033151,
2.62528,
3.1593934,
3.7600789
],
[
0.1517395,
0.2329906,
0.2433204,
0.2566275,
0.2705836,
0.2862681,
0.3058831,
0.326488,
0.3501606,
0.3819946,
0.4128982,
0.4582051,
0.5096441,
0.5704498,
0.6445162,
0.7319508,
0.8357073,
0.9664249,
1.1208419,
1.3131748,
1.550833,
1.8425478,
2.2042705,
2.618352,
3.153702,
3.7654844
],
[
0.1640375,
0.2494172,
0.262309,
0.2750319,
0.2902118,
0.3052011,
0.325514,
0.347472,
0.3709827,
0.4025088,
0.4358672,
0.4820784,
0.5356687,
0.5955006,
0.6734045,
0.7630879,
0.8689494,
1.0024279,
1.1535279,
1.3458734,
1.5718223,
1.8579672,
2.2109734,
2.6438782,
3.1575147,
3.7603138
]
]
},
"related_pin": "SCD",
"rise_transition,delay_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0,
0.00873,
0.01047,
0.01257,
0.01509,
0.01811,
0.02173,
0.02607,
0.03128,
0.03753,
0.04504,
0.05405,
0.06486,
0.07783,
0.09339,
0.11207,
0.13448,
0.16137,
0.19364,
0.23237,
0.27884,
0.33461,
0.40153,
0.48183,
0.5782,
0.69384
],
"values": [
[
0.0441929,
0.1000153,
0.1084392,
0.119014,
0.1318159,
0.1470765,
0.1656045,
0.1873358,
0.2131221,
0.2443384,
0.2818487,
0.3273158,
0.3818837,
0.4485108,
0.5293555,
0.6264266,
0.7461446,
0.8889898,
1.0647704,
1.2730894,
1.5300627,
1.8276396,
2.1859035,
2.6259268,
3.14035,
3.7715142
],
[
0.0441826,
0.1000396,
0.108669,
0.1190315,
0.1317085,
0.1470719,
0.165053,
0.1872217,
0.2130461,
0.244123,
0.2816641,
0.3272331,
0.381921,
0.4488311,
0.52936,
0.6274051,
0.7446195,
0.8910154,
1.0642148,
1.2719786,
1.528522,
1.8270387,
2.1861351,
2.6237972,
3.1490519,
3.7682542
],
[
0.0441438,
0.0997179,
0.1088849,
0.1190455,
0.1316346,
0.1470572,
0.1657722,
0.1872208,
0.2131752,
0.2444258,
0.2819399,
0.3270003,
0.3817993,
0.4487848,
0.5292775,
0.6265589,
0.7461883,
0.8890183,
1.0632776,
1.2780133,
1.5307312,
1.8287407,
2.1890016,
2.623797,
3.1440479,
3.7705257
],
[
0.0440112,
0.1000288,
0.1087628,
0.1196434,
0.1319892,
0.1470386,
0.1656248,
0.1872155,
0.2130858,
0.2443337,
0.281867,
0.3274054,
0.3817994,
0.4484282,
0.5292162,
0.6274395,
0.746038,
0.889291,
1.0633866,
1.2731661,
1.5307633,
1.8275271,
2.1814945,
2.6214957,
3.1413694,
3.7773735
],
[
0.0445562,
0.0999204,
0.1089004,
0.1195966,
0.1323734,
0.1474469,
0.1657825,
0.1875791,
0.2135827,
0.2444721,
0.2822482,
0.3276739,
0.3825416,
0.4489984,
0.5292751,
0.6266596,
0.746184,
0.8882666,
1.0639531,
1.2726208,
1.5282934,
1.8253651,
2.1898789,
2.6234048,
3.1425718,
3.7646779
],
[
0.0493569,
0.1071089,
0.1155392,
0.1256121,
0.138295,
0.1526963,
0.1702522,
0.1920467,
0.2171385,
0.2479692,
0.2850938,
0.3302915,
0.3848099,
0.4505708,
0.5304782,
0.6284524,
0.745742,
0.8901176,
1.0665626,
1.2727633,
1.5242324,
1.8280172,
2.1884028,
2.6295714,
3.1560095,
3.7655163
],
[
0.0626452,
0.1223263,
0.1326345,
0.1456076,
0.1554052,
0.1685315,
0.1864512,
0.2064491,
0.232199,
0.2609378,
0.2970163,
0.3416072,
0.3944276,
0.4590551,
0.5373495,
0.632561,
0.7496516,
0.8918244,
1.065072,
1.2751497,
1.5275,
1.8264573,
2.1885276,
2.6209013,
3.1419818,
3.766615
],
[
0.0752613,
0.1403764,
0.1514546,
0.1615717,
0.1730533,
0.1877,
0.2037557,
0.2256744,
0.2485163,
0.2781502,
0.3146055,
0.3576122,
0.4093314,
0.4725484,
0.5492918,
0.643424,
0.7578316,
0.898071,
1.068494,
1.2734991,
1.5241122,
1.8244257,
2.1863045,
2.6254827,
3.1358631,
3.7628514
],
[
0.1059999,
0.1818235,
0.1922747,
0.2036578,
0.2175508,
0.2330456,
0.2531038,
0.2729353,
0.2945071,
0.3211402,
0.3565236,
0.3996116,
0.4509393,
0.5146769,
0.5919539,
0.6857713,
0.8006169,
0.9381146,
1.1042899,
1.3072521,
1.5475377,
1.8434025,
2.1936064,
2.6251747,
3.141974,
3.7619134
],
[
0.1197109,
0.199024,
0.2165366,
0.2244406,
0.2373575,
0.2540156,
0.2720067,
0.2922865,
0.3158127,
0.3454868,
0.3777116,
0.4197105,
0.471155,
0.5333508,
0.6111609,
0.7056641,
0.8218942,
0.9579523,
1.1293964,
1.3316335,
1.5684612,
1.8584375,
2.2173761,
2.6351112,
3.1433165,
3.7688088
],
[
0.1330556,
0.2174211,
0.2297441,
0.2440991,
0.2573941,
0.2740349,
0.293298,
0.3127628,
0.3394041,
0.3658832,
0.3971345,
0.43955,
0.4900901,
0.5546968,
0.6299,
0.7236735,
0.8379341,
0.9781777,
1.1473239,
1.3548743,
1.5955584,
1.8864694,
2.2337978,
2.6583908,
3.1611138,
3.7790309
]
]
},
"timing_sense": "positive_unate"
},
{
"cell_fall,delay_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0,
0.00873,
0.01047,
0.01257,
0.01509,
0.01811,
0.02173,
0.02607,
0.03128,
0.03753,
0.04504,
0.05405,
0.06486,
0.07783,
0.09339,
0.11207,
0.13448,
0.16137,
0.19364,
0.23237,
0.27884,
0.33461,
0.40153,
0.48183,
0.5782,
0.69384
],
"values": [
[
0.2985021,
0.3772525,
0.3891662,
0.4023885,
0.4175958,
0.4348801,
0.4545081,
0.4767733,
0.5028694,
0.5327678,
0.5681219,
0.6091893,
0.657319,
0.7138962,
0.7809997,
0.8607471,
0.9558981,
1.0698334,
1.2065584,
1.3708739,
1.5683504,
1.8051928,
2.0899815,
2.432341,
2.8436382,
3.3376146
],
[
0.3006638,
0.3795306,
0.3912921,
0.4047719,
0.4199397,
0.4372001,
0.4566394,
0.4790939,
0.505272,
0.5350373,
0.5702975,
0.6113056,
0.6593585,
0.7162306,
0.7832407,
0.8629544,
0.9581008,
1.0720043,
1.20871,
1.3730125,
1.5705495,
1.8080614,
2.0925566,
2.4361675,
2.8479696,
3.3428105
],
[
0.3007261,
0.3820198,
0.3938506,
0.4073915,
0.4225717,
0.439758,
0.4563871,
0.4819681,
0.5048107,
0.5349772,
0.5698315,
0.6110482,
0.6589885,
0.7157925,
0.7858653,
0.8657215,
0.9577835,
1.071728,
1.208464,
1.3728672,
1.5701306,
1.8076943,
2.0934121,
2.4346254,
2.8515525,
3.3428151
],
[
0.3108104,
0.3895961,
0.4013294,
0.4148502,
0.4299499,
0.4471407,
0.4668209,
0.4893498,
0.5154205,
0.5452918,
0.5805393,
0.6213845,
0.6697231,
0.7264798,
0.7933441,
0.8730636,
0.9683482,
1.0823467,
1.218961,
1.3833887,
1.5809183,
1.8183768,
2.1026281,
2.4467868,
2.8596829,
3.3497107
],
[
0.315322,
0.3943882,
0.4063304,
0.4194187,
0.4351313,
0.4522544,
0.4718831,
0.4946116,
0.5204452,
0.5502516,
0.5855077,
0.6263523,
0.6747743,
0.7313545,
0.7983308,
0.8780722,
0.9735649,
1.087553,
1.2239053,
1.3881308,
1.5852971,
1.8225326,
2.1083679,
2.4522131,
2.8678409,
3.3588037
],
[
0.3110912,
0.3895352,
0.4012595,
0.414712,
0.4297782,
0.447001,
0.4664832,
0.488906,
0.5150767,
0.544614,
0.5800592,
0.6212813,
0.6692628,
0.7260589,
0.792524,
0.8722944,
0.9682571,
1.0821953,
1.2187914,
1.3825255,
1.5799475,
1.8176649,
2.1034903,
2.4466966,
2.8603231,
3.3522602
],
[
0.2840871,
0.3629878,
0.3737705,
0.3876133,
0.4026252,
0.4197556,
0.4392596,
0.4618152,
0.4881075,
0.5176541,
0.552867,
0.592618,
0.6418349,
0.6986772,
0.7633625,
0.8450385,
0.9397165,
1.0541621,
1.1913986,
1.3543957,
1.5520168,
1.7895468,
2.0749524,
2.4192606,
2.8318525,
3.3244203
],
[
0.2447395,
0.3229278,
0.3343495,
0.3472998,
0.3626809,
0.3797343,
0.398901,
0.4218527,
0.4476287,
0.4778642,
0.5127528,
0.5535828,
0.6019645,
0.6585884,
0.7249902,
0.8047162,
0.9005539,
1.0140433,
1.1509264,
1.3152524,
1.5128655,
1.7501105,
2.0347461,
2.3782001,
2.7882985,
3.2825307
],
[
0.1088441,
0.1880927,
0.1987994,
0.2129287,
0.2280044,
0.2450559,
0.2644403,
0.2870394,
0.3119506,
0.3419637,
0.3769293,
0.4178329,
0.4661385,
0.522625,
0.5895193,
0.6698115,
0.7649297,
0.8788555,
1.015068,
1.1789396,
1.376213,
1.6138728,
1.8987343,
2.2401114,
2.6510119,
3.1457774
],
[
0.0329604,
0.1108437,
0.1230446,
0.1345895,
0.1495688,
0.168461,
0.1877002,
0.2099539,
0.2358145,
0.2656383,
0.3007292,
0.3418091,
0.3895678,
0.4461744,
0.5125748,
0.5923684,
0.6879409,
0.8013972,
0.9383887,
1.1025645,
1.2998145,
1.5367524,
1.8225201,
2.1644271,
2.5732509,
3.0666629
],
[
-0.0467206,
0.0315733,
0.0432077,
0.055568,
0.0705334,
0.0875896,
0.1070301,
0.129081,
0.1561335,
0.1856553,
0.220754,
0.2616324,
0.3094338,
0.3661455,
0.4330954,
0.5125546,
0.6074529,
0.7204078,
0.8577653,
1.0220099,
1.2193518,
1.4559212,
1.7412162,
2.0842055,
2.4939244,
2.9870719
]
]
},
"cell_rise,delay_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0,
0.00873,
0.01047,
0.01257,
0.01509,
0.01811,
0.02173,
0.02607,
0.03128,
0.03753,
0.04504,
0.05405,
0.06486,
0.07783,
0.09339,
0.11207,
0.13448,
0.16137,
0.19364,
0.23237,
0.27884,
0.33461,
0.40153,
0.48183,
0.5782,
0.69384
],
"values": [
[
0.1825307,
0.2438556,
0.2532049,
0.2638351,
0.2761067,
0.2903303,
0.3068039,
0.326027,
0.3485177,
0.3747166,
0.4053135,
0.4410835,
0.4832672,
0.533185,
0.5926378,
0.6637643,
0.7490207,
0.8515312,
0.9745381,
1.1222335,
1.2997246,
1.5127903,
1.7685764,
2.0756252,
2.4441524,
2.886239
],
[
0.1819664,
0.2456716,
0.2525295,
0.2633794,
0.2756467,
0.2898758,
0.3063843,
0.3278149,
0.3502623,
0.3742698,
0.4048991,
0.4429484,
0.4851219,
0.5351156,
0.5947507,
0.6659242,
0.7488161,
0.853773,
0.9772639,
1.1263784,
1.3029208,
1.5164404,
1.7767595,
2.0789777,
2.4508033,
2.8949991
],
[
0.1850413,
0.2464449,
0.2517935,
0.2664498,
0.278736,
0.288968,
0.30544,
0.3286808,
0.3511654,
0.3773821,
0.4039895,
0.4438155,
0.4859569,
0.5359507,
0.5954841,
0.6666651,
0.7483277,
0.8547356,
0.9782182,
1.1282095,
1.3051572,
1.5192702,
1.7735069,
2.0908359,
2.4579845,
2.8996698
],
[
0.1917445,
0.253122,
0.2623068,
0.2729719,
0.2853945,
0.2995894,
0.3160771,
0.3352703,
0.3578804,
0.3839949,
0.4145899,
0.4503794,
0.4925785,
0.5424382,
0.6022483,
0.6734949,
0.7584091,
0.861531,
0.9839011,
1.1333731,
1.3126042,
1.5266151,
1.7835496,
2.0859228,
2.4596725,
2.9047746
],
[
0.2209354,
0.2821144,
0.2912343,
0.3019746,
0.3140229,
0.3280433,
0.3443578,
0.3635365,
0.3858513,
0.4118325,
0.4421664,
0.4779153,
0.5198665,
0.5696245,
0.6290146,
0.7000122,
0.7850519,
0.8879999,
1.0111332,
1.1600622,
1.3369098,
1.5522967,
1.8046141,
2.1247069,
2.4816242,
2.928495
],
[
0.257275,
0.3211255,
0.3305181,
0.3410635,
0.353261,
0.3672938,
0.3835056,
0.4024803,
0.4245294,
0.4502883,
0.4802856,
0.5156796,
0.5573125,
0.6066014,
0.6655427,
0.7360948,
0.82051,
0.9227408,
1.0455629,
1.1940031,
1.3691009,
1.5853234,
1.8378523,
2.1485509,
2.5144908,
2.9622322
],
[
0.3001578,
0.3722509,
0.3814941,
0.3930064,
0.405921,
0.4212753,
0.4379287,
0.457039,
0.4792042,
0.5047818,
0.534878,
0.5698376,
0.6111294,
0.6598372,
0.71773,
0.7874906,
0.8716101,
0.9725385,
1.0941128,
1.2401781,
1.4170177,
1.6307909,
1.8849746,
2.194948,
2.5610363,
3.0077765
],
[
0.323379,
0.4034293,
0.4140087,
0.4261781,
0.4400809,
0.4563417,
0.4740429,
0.4938326,
0.5168339,
0.5433436,
0.5736854,
0.6091963,
0.6506517,
0.6995489,
0.7578012,
0.8268282,
0.9098177,
1.0095301,
1.1297108,
1.2755549,
1.4510017,
1.6610627,
1.9169806,
2.2198314,
2.5925009,
3.0370368
],
[
0.3478879,
0.4438959,
0.4573791,
0.4721288,
0.4892521,
0.5076536,
0.5281955,
0.5513576,
0.576589,
0.6061993,
0.6385181,
0.6756367,
0.7185757,
0.7687931,
0.8282585,
0.8988572,
0.9829266,
1.0837944,
1.2043725,
1.3499292,
1.5240305,
1.7306681,
1.9818935,
2.2842772,
2.6499074,
3.0902387
],
[
0.3489101,
0.4519641,
0.466278,
0.4815172,
0.4997922,
0.5196739,
0.5418148,
0.5653216,
0.592444,
0.6239966,
0.657872,
0.6952322,
0.7395298,
0.7899316,
0.8499537,
0.9218609,
1.0068334,
1.1084716,
1.230106,
1.3760734,
1.5521595,
1.760864,
2.0118027,
2.3129105,
2.6750651,
3.1128571
],
[
0.3453949,
0.4532479,
0.4689136,
0.4851734,
0.5038507,
0.5243646,
0.5484833,
0.5741077,
0.6017221,
0.6347498,
0.6700868,
0.710051,
0.7547371,
0.8062921,
0.8670199,
0.9384812,
1.0235021,
1.1263013,
1.2492335,
1.3966892,
1.5734856,
1.7849406,
2.0345643,
2.3388649,
2.6991394,
3.1340612
]
]
},
"fall_transition,delay_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0,
0.00873,
0.01047,
0.01257,
0.01509,
0.01811,
0.02173,
0.02607,
0.03128,
0.03753,
0.04504,
0.05405,
0.06486,
0.07783,
0.09339,
0.11207,
0.13448,
0.16137,
0.19364,
0.23237,
0.27884,
0.33461,
0.40153,
0.48183,
0.5782,
0.69384
],
"values": [
[
0.0684961,
0.1366189,
0.1472002,
0.157377,
0.1698746,
0.1832434,
0.2008675,
0.2215891,
0.2452963,
0.2752807,
0.3104725,
0.3530222,
0.4057197,
0.4697937,
0.5479759,
0.6435708,
0.7603245,
0.9006634,
1.0709137,
1.2834566,
1.5236029,
1.8336738,
2.1905522,
2.623076,
3.1453502,
3.7467749
],
[
0.0685486,
0.1369781,
0.1466892,
0.1570741,
0.1703431,
0.1842217,
0.2013761,
0.2213113,
0.2453657,
0.2753675,
0.3107,
0.3542477,
0.4061424,
0.4698444,
0.5476842,
0.643523,
0.7598356,
0.9011464,
1.0734518,
1.2832622,
1.5197925,
1.8330827,
2.1859463,
2.6274116,
3.1364613,
3.7712184
],
[
0.0685193,
0.1372807,
0.1463455,
0.1579319,
0.1700451,
0.1839935,
0.2014626,
0.2209637,
0.2449265,
0.2753213,
0.3109801,
0.3536005,
0.4049499,
0.4690051,
0.5467883,
0.6413996,
0.7586167,
0.901825,
1.078604,
1.2824639,
1.5316631,
1.8171722,
2.1889202,
2.6140448,
3.1401677,
3.7691663
],
[
0.069444,
0.1370684,
0.1465381,
0.1571223,
0.1701412,
0.1853051,
0.2015131,
0.2219775,
0.2456858,
0.2754721,
0.3107008,
0.3545336,
0.4058932,
0.4700504,
0.546854,
0.6424354,
0.759209,
0.8995837,
1.0734592,
1.285948,
1.5182154,
1.8277218,
2.1805797,
2.6223586,
3.1351426,
3.7688306
],
[
0.069019,
0.1372223,
0.1462984,
0.1578892,
0.1706395,
0.1851217,
0.2016647,
0.2210578,
0.2450471,
0.2751048,
0.3111817,
0.3540948,
0.4060575,
0.4695361,
0.5482796,
0.6432583,
0.7600216,
0.8985946,
1.0740981,
1.2802438,
1.535549,
1.8226504,
2.1941975,
2.6285876,
3.1401928,
3.7540575
],
[
0.0673338,
0.1354192,
0.1448271,
0.1552413,
0.1686048,
0.1829925,
0.2010656,
0.2203453,
0.2441262,
0.2747975,
0.3102718,
0.353528,
0.4054648,
0.4690855,
0.5475838,
0.6430478,
0.7599052,
0.9017553,
1.0736441,
1.2801507,
1.5254087,
1.8419729,
2.1798208,
2.6192879,
3.1415563,
3.7605075
],
[
0.0674151,
0.1355114,
0.1450791,
0.1555895,
0.1689034,
0.183435,
0.2004282,
0.2196983,
0.2440903,
0.2746016,
0.3086071,
0.3503283,
0.4036559,
0.4691611,
0.5482495,
0.6439812,
0.760028,
0.9014597,
1.0706082,
1.2803186,
1.5332304,
1.8275413,
2.1911919,
2.6206901,
3.1394971,
3.7639829
],
[
0.068607,
0.1363506,
0.1453316,
0.15736,
0.1695192,
0.183342,
0.201583,
0.2208892,
0.2453477,
0.2729071,
0.3094354,
0.3528139,
0.4058951,
0.4701418,
0.5477788,
0.6401426,
0.7543825,
0.8971191,
1.0722031,
1.2827127,
1.5354632,
1.835941,
2.1882138,
2.6386624,
3.1485403,
3.7910368
],
[
0.0690866,
0.1376916,
0.14721,
0.1577618,
0.1713427,
0.1860217,
0.2024581,
0.2218879,
0.247017,
0.2759744,
0.313064,
0.3557112,
0.4073555,
0.4711655,
0.5490608,
0.6447597,
0.7604159,
0.9025494,
1.07609,
1.281228,
1.5204961,
1.8179692,
2.1754873,
2.6140674,
3.1429059,
3.758422
],
[
0.0700148,
0.1385702,
0.1482487,
0.1591997,
0.1713369,
0.1861664,
0.203918,
0.2234029,
0.2473123,
0.2755705,
0.3130698,
0.3561146,
0.4077211,
0.4707192,
0.5498544,
0.6432314,
0.7598541,
0.9028018,
1.0738348,
1.2784159,
1.5324505,
1.8375823,
2.1769704,
2.6081008,
3.1376473,
3.771996
],
[
0.0703291,
0.138681,
0.1485483,
0.1597829,
0.1719893,
0.1870072,
0.2045082,
0.2243051,
0.2479568,
0.2782886,
0.3120267,
0.3570196,
0.4088219,
0.4716705,
0.5497425,
0.6453874,
0.7638494,
0.9020007,
1.0748734,
1.287272,
1.5309628,
1.8284857,
2.188367,
2.6061588,
3.1249177,
3.7576173
]
]
},
"related_pin": "SCE",
"rise_transition,delay_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0,
0.00873,
0.01047,
0.01257,
0.01509,
0.01811,
0.02173,
0.02607,
0.03128,
0.03753,
0.04504,
0.05405,
0.06486,
0.07783,
0.09339,
0.11207,
0.13448,
0.16137,
0.19364,
0.23237,
0.27884,
0.33461,
0.40153,
0.48183,
0.5782,
0.69384
],
"values": [
[
0.0454424,
0.1010637,
0.1099409,
0.1205999,
0.1330277,
0.1480425,
0.1666409,
0.1878695,
0.2137806,
0.2447134,
0.2825391,
0.3277684,
0.3820776,
0.4480408,
0.5292052,
0.6268829,
0.744939,
0.8889756,
1.0619025,
1.2689572,
1.5205468,
1.8213865,
2.1802438,
2.6159159,
3.1295069,
3.7537453
],
[
0.0456004,
0.101787,
0.1101982,
0.1206148,
0.132807,
0.1481966,
0.166421,
0.1883751,
0.2137117,
0.24487,
0.2824558,
0.3278487,
0.3827612,
0.4492374,
0.5290102,
0.6276503,
0.7466438,
0.8893861,
1.0640764,
1.2712249,
1.5212578,
1.8220575,
2.1822322,
2.615026,
3.145656,
3.771222
],
[
0.0456273,
0.1013444,
0.1101061,
0.1207399,
0.1329685,
0.1479344,
0.1668198,
0.1883792,
0.2134817,
0.2449386,
0.2824839,
0.3278655,
0.3828996,
0.4491784,
0.5291302,
0.6275391,
0.7469519,
0.8909088,
1.0623623,
1.2731519,
1.5211415,
1.8239071,
2.1861712,
2.6225904,
3.1407119,
3.768208
],
[
0.0455939,
0.1017174,
0.1104358,
0.1205979,
0.1330217,
0.148292,
0.166694,
0.1884058,
0.2137295,
0.2450268,
0.2822638,
0.3279935,
0.3827378,
0.4492402,
0.5286786,
0.6269201,
0.7466543,
0.8902177,
1.0631779,
1.2771756,
1.5253602,
1.8300637,
2.1917486,
2.6221416,
3.1487331,
3.7699528
],
[
0.0467134,
0.101961,
0.1109181,
0.121246,
0.1339916,
0.1493016,
0.1672798,
0.1891695,
0.2147091,
0.245887,
0.283039,
0.3281503,
0.3834083,
0.4496052,
0.5302624,
0.6270807,
0.7465065,
0.8912395,
1.0633606,
1.2753036,
1.5247569,
1.832187,
2.1890791,
2.624841,
3.1390299,
3.7692782
],
[
0.054026,
0.1104408,
0.119038,
0.1290267,
0.1402982,
0.1554154,
0.172836,
0.1938909,
0.219178,
0.2496983,
0.2868522,
0.3313861,
0.3857463,
0.4511076,
0.5315515,
0.6287946,
0.7474449,
0.8895038,
1.063984,
1.2722707,
1.5233328,
1.8243792,
2.1889324,
2.6217206,
3.1405751,
3.7640828
],
[
0.0706121,
0.1287602,
0.1377323,
0.1482661,
0.1596054,
0.1724751,
0.1889707,
0.2086522,
0.2331677,
0.2626866,
0.2976828,
0.341282,
0.3944423,
0.4582874,
0.5371532,
0.6328723,
0.7492427,
0.8928864,
1.0645861,
1.2714776,
1.5243492,
1.8314935,
2.1890949,
2.618487,
3.144166,
3.7638976
],
[
0.0850769,
0.1481982,
0.1602398,
0.1689448,
0.1796228,
0.1921515,
0.2081963,
0.2278635,
0.2516007,
0.2800091,
0.3140143,
0.3561086,
0.4076968,
0.4707249,
0.5470232,
0.641807,
0.7597488,
0.8965169,
1.0677669,
1.2742529,
1.5240078,
1.8234756,
2.1852262,
2.6215949,
3.1469718,
3.7727195
],
[
0.1188771,
0.1955024,
0.2040849,
0.2172355,
0.2275012,
0.2416291,
0.258417,
0.2766806,
0.3001018,
0.324951,
0.3589662,
0.3990446,
0.4484137,
0.5096437,
0.5857555,
0.6777586,
0.7891056,
0.9272984,
1.0947732,
1.2945685,
1.5425078,
1.8306204,
2.191586,
2.6196061,
3.1403988,
3.7618579
],
[
0.1318271,
0.2123675,
0.2233582,
0.2390106,
0.250694,
0.2646699,
0.2819307,
0.302044,
0.3246133,
0.3487561,
0.3805081,
0.4203165,
0.4686047,
0.5294464,
0.6047023,
0.6951161,
0.8083684,
0.9459064,
1.1129353,
1.3136944,
1.5575481,
1.8492092,
2.2026938,
2.6289699,
3.1435827,
3.7652965
],
[
0.1473431,
0.2288144,
0.2427378,
0.2561406,
0.2699553,
0.2912887,
0.304204,
0.3225446,
0.3473641,
0.3700455,
0.402323,
0.4399842,
0.4887873,
0.5476566,
0.6213847,
0.7121895,
0.8253981,
0.9633082,
1.1289203,
1.3317341,
1.5724619,
1.8669177,
2.2156488,
2.6491838,
3.155362,
3.7660048
]
]
},
"timing_sense": "non_unate"
},
{
"cell_fall,delay_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0,
0.00873,
0.01047,
0.01257,
0.01509,
0.01811,
0.02173,
0.02607,
0.03128,
0.03753,
0.04504,
0.05405,
0.06486,
0.07783,
0.09339,
0.11207,
0.13448,
0.16137,
0.19364,
0.23237,
0.27884,
0.33461,
0.40153,
0.48183,
0.5782,
0.69384
],
"values": [
[
0.1935065,
0.2731941,
0.2856075,
0.2995672,
0.315256,
0.3329501,
0.3530892,
0.3762197,
0.4023412,
0.4332121,
0.4685385,
0.5101652,
0.5587689,
0.6159854,
0.683606,
0.7638888,
0.8595963,
0.9740866,
1.1113128,
1.2759407,
1.4738734,
1.7119806,
1.998079,
2.3398614,
2.754871,
3.245697
],
[
0.1935639,
0.2731678,
0.2856092,
0.2995796,
0.3152858,
0.3329859,
0.3530717,
0.3760527,
0.402576,
0.4329622,
0.4686893,
0.5100669,
0.5588688,
0.6161344,
0.683626,
0.7638786,
0.8595685,
0.9740517,
1.1113295,
1.2761965,
1.4742332,
1.7121136,
1.9969657,
2.3415778,
2.7507725,
3.2508956
],
[
0.1971597,
0.2768473,
0.2892519,
0.3032189,
0.3189064,
0.3366,
0.3567336,
0.379838,
0.4059849,
0.4367111,
0.472289,
0.5138135,
0.5625058,
0.6196233,
0.687251,
0.7675399,
0.8632566,
0.9777691,
1.1150469,
1.2798259,
1.4774246,
1.715328,
2.0017177,
2.3432807,
2.757562,
3.2481422
],
[
0.2058535,
0.2854532,
0.2979034,
0.311822,
0.3275276,
0.3452361,
0.3653088,
0.3882841,
0.4147883,
0.4451995,
0.4809629,
0.5222988,
0.5711249,
0.6283559,
0.6958709,
0.7761412,
0.871854,
0.9863652,
1.1236669,
1.2885348,
1.4865139,
1.723982,
2.0097084,
2.3542175,
2.764498,
3.2624003
],
[
0.2327946,
0.312054,
0.3244109,
0.3384384,
0.3541306,
0.3717668,
0.3918375,
0.4147883,
0.4411595,
0.4717642,
0.5074703,
0.5488325,
0.5976852,
0.6547565,
0.7223944,
0.8026878,
0.8984127,
1.012939,
1.1502378,
1.314909,
1.512832,
1.7503843,
2.0375035,
2.3799422,
2.794059,
3.287467
],
[
0.2578226,
0.3366515,
0.3489221,
0.362809,
0.3783495,
0.3959326,
0.4160989,
0.4389202,
0.4651403,
0.4959603,
0.5312777,
0.5728904,
0.6215506,
0.6786827,
0.7463097,
0.8265928,
0.9222773,
1.0367124,
1.1739262,
1.3387873,
1.5368721,
1.7749192,
2.0599051,
2.4034321,
2.8181815,
3.3104519
],
[
0.2840939,
0.3626495,
0.3748984,
0.3887324,
0.4043281,
0.4219382,
0.4419558,
0.4649649,
0.4911875,
0.521699,
0.5574583,
0.5986318,
0.6474058,
0.7044395,
0.7719012,
0.8520224,
0.9476487,
1.0620925,
1.1993524,
1.3641724,
1.5621671,
1.800168,
2.0858624,
2.4289533,
2.8426896,
3.3330758
],
[
0.2960893,
0.3741493,
0.3863755,
0.4002645,
0.4156692,
0.4334698,
0.4534928,
0.4762676,
0.502558,
0.5333005,
0.5686136,
0.6103621,
0.6589518,
0.7160391,
0.7836164,
0.8638125,
0.9592918,
1.0735363,
1.2105628,
1.3752431,
1.5732692,
1.8119035,
2.0959203,
2.44084,
2.8498446,
3.3484227
],
[
0.2977635,
0.3748386,
0.3869883,
0.4007487,
0.4163023,
0.433873,
0.4537275,
0.4763791,
0.502854,
0.5330654,
0.5685748,
0.6100804,
0.6584762,
0.7156901,
0.7831422,
0.8632427,
0.9587926,
1.073128,
1.210215,
1.3748199,
1.5725522,
1.8101176,
2.0943334,
2.436731,
2.8490419,
3.3413985
],
[
0.2886642,
0.3666137,
0.378719,
0.3924733,
0.4079701,
0.4253376,
0.4452188,
0.468062,
0.4942296,
0.5244897,
0.5601191,
0.6011163,
0.6498803,
0.7067179,
0.7741381,
0.8542043,
0.9496855,
1.0639596,
1.2009996,
1.365553,
1.5629925,
1.8004972,
2.0852413,
2.4273539,
2.8380975,
3.332312
],
[
0.2752237,
0.354027,
0.3661683,
0.3799211,
0.3952995,
0.4127905,
0.4325281,
0.4552789,
0.4811889,
0.5114336,
0.5467728,
0.587846,
0.6363864,
0.6933966,
0.7606364,
0.8405639,
0.9359047,
1.05014,
1.1869833,
1.3516047,
1.5489963,
1.7881102,
2.0715378,
2.4151587,
2.8248326,
3.3174061
]
]
},
"cell_rise,delay_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0,
0.00873,
0.01047,
0.01257,
0.01509,
0.01811,
0.02173,
0.02607,
0.03128,
0.03753,
0.04504,
0.05405,
0.06486,
0.07783,
0.09339,
0.11207,
0.13448,
0.16137,
0.19364,
0.23237,
0.27884,
0.33461,
0.40153,
0.48183,
0.5782,
0.69384
],
"values": [
[
0.1946133,
0.2542779,
0.2634345,
0.2740403,
0.2862913,
0.3004951,
0.3170415,
0.3363819,
0.3589705,
0.3852892,
0.4159982,
0.4519309,
0.4943259,
0.544597,
0.6042896,
0.6757292,
0.761331,
0.8641875,
0.9874552,
1.1350273,
1.3158794,
1.5258279,
1.7922336,
2.0913224,
2.4572644,
2.9098679
],
[
0.1945913,
0.2543623,
0.2635183,
0.2741279,
0.286373,
0.3005783,
0.3171227,
0.3364611,
0.3590507,
0.3853726,
0.4160738,
0.45202,
0.4943847,
0.5446517,
0.6043839,
0.6758293,
0.7614402,
0.8642968,
0.9870804,
1.1357665,
1.3165302,
1.5289827,
1.7853603,
2.0961211,
2.4582647,
2.90553
],
[
0.1956662,
0.2552586,
0.2644148,
0.2750252,
0.28727,
0.3014754,
0.3180197,
0.3373397,
0.3599475,
0.3862649,
0.4169698,
0.4528876,
0.4953031,
0.5452924,
0.6052705,
0.6764936,
0.7622566,
0.8649377,
0.9875023,
1.1369609,
1.3175141,
1.5286907,
1.782534,
2.1012956,
2.4605433,
2.9133851
],
[
0.2076731,
0.2673632,
0.2765204,
0.2871293,
0.2993898,
0.3135965,
0.3301404,
0.3494709,
0.3720524,
0.3983692,
0.4290497,
0.4650081,
0.5072809,
0.5574111,
0.6171484,
0.688552,
0.7741457,
0.877029,
1.0009331,
1.1498156,
1.3263719,
1.5415772,
1.7981996,
2.1022541,
2.4780666,
2.9191463
],
[
0.2333911,
0.2929943,
0.3021441,
0.3127457,
0.3249836,
0.3391797,
0.3557151,
0.3750447,
0.3976233,
0.4239277,
0.4546074,
0.4905632,
0.5329,
0.5831177,
0.6428819,
0.7143264,
0.7999634,
0.9028593,
1.0263325,
1.1734679,
1.3546233,
1.5643863,
1.8326433,
2.1320556,
2.4967333,
2.9418776
],
[
0.2547389,
0.3142663,
0.3234172,
0.3340239,
0.3462883,
0.3605022,
0.3770376,
0.3963705,
0.4190058,
0.4453315,
0.4760051,
0.5119259,
0.5542247,
0.6044213,
0.6642137,
0.7356558,
0.8213036,
0.924194,
1.0476358,
1.1950074,
1.3759781,
1.5854176,
1.8461743,
2.1547764,
2.5184079,
2.9668252
],
[
0.2749236,
0.3339044,
0.3429819,
0.3535084,
0.3656838,
0.3798296,
0.3963181,
0.4155966,
0.4381421,
0.4644292,
0.4951014,
0.5310542,
0.5733814,
0.6235861,
0.6833738,
0.7544863,
0.8399613,
0.9428636,
1.0667689,
1.2161711,
1.392386,
1.6085597,
1.8614998,
2.1830975,
2.5406222,
2.9794431
],
[
0.2815096,
0.3399084,
0.3489259,
0.3594029,
0.3715461,
0.3856484,
0.4020999,
0.421351,
0.4438617,
0.4701225,
0.5007679,
0.5366599,
0.579095,
0.6293647,
0.6890994,
0.760235,
0.8460206,
0.9509624,
1.0719959,
1.2196307,
1.3980934,
1.6113324,
1.8735475,
2.1751221,
2.5454563,
2.9992591
],
[
0.2670518,
0.324105,
0.3330096,
0.3433751,
0.3554015,
0.3694062,
0.3857723,
0.4049431,
0.4274171,
0.4536358,
0.4842513,
0.5200925,
0.5624626,
0.6127355,
0.6723269,
0.7440273,
0.8297901,
0.9326686,
1.0555836,
1.2044973,
1.3854949,
1.5959781,
1.8548112,
2.15771,
2.5263902,
2.9737028
],
[
0.2491188,
0.3058487,
0.3147231,
0.3250504,
0.3370491,
0.3510207,
0.36736,
0.3865093,
0.4089299,
0.4351035,
0.4657063,
0.5015887,
0.5437966,
0.5940169,
0.6536293,
0.7251631,
0.8109833,
0.914177,
1.0382352,
1.1851085,
1.3668278,
1.5780593,
1.8356803,
2.1450726,
2.5100508,
2.9508884
],
[
0.2273657,
0.2839722,
0.2928374,
0.3031672,
0.315157,
0.3291159,
0.3454308,
0.3645491,
0.386943,
0.4131031,
0.4436685,
0.4795437,
0.5217737,
0.5718857,
0.6316486,
0.7031231,
0.7888471,
0.8918944,
1.0158189,
1.1659028,
1.3449,
1.5568463,
1.8135691,
2.1226227,
2.4934943,
2.9365277
]
]
},
"fall_transition,delay_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0,
0.00873,
0.01047,
0.01257,
0.01509,
0.01811,
0.02173,
0.02607,
0.03128,
0.03753,
0.04504,
0.05405,
0.06486,
0.07783,
0.09339,
0.11207,
0.13448,
0.16137,
0.19364,
0.23237,
0.27884,
0.33461,
0.40153,
0.48183,
0.5782,
0.69384
],
"values": [
[
0.0521954,
0.1238713,
0.1336215,
0.1456774,
0.1586639,
0.1741093,
0.191479,
0.2117707,
0.2370991,
0.2668627,
0.3045734,
0.3481451,
0.400366,
0.4651191,
0.5439003,
0.6389863,
0.7563674,
0.90058,
1.072421,
1.2813454,
1.5269069,
1.8412784,
2.1788151,
2.6215448,
3.1360916,
3.7552108
],
[
0.0519876,
0.1240111,
0.1340125,
0.1450257,
0.1586778,
0.1731951,
0.1918331,
0.2119842,
0.2370419,
0.2674278,
0.3031483,
0.3484399,
0.4008946,
0.4656057,
0.5443998,
0.6404467,
0.7570733,
0.9001678,
1.0710738,
1.2721803,
1.5270247,
1.8244802,
2.1937483,
2.6197002,
3.1470015,
3.7586925
],
[
0.0521911,
0.1238605,
0.133586,
0.1457046,
0.1586647,
0.1740197,
0.1914783,
0.2115205,
0.2375435,
0.267222,
0.3047732,
0.3481537,
0.4007151,
0.4652811,
0.5445285,
0.6404236,
0.7557759,
0.8990438,
1.0742849,
1.2822965,
1.5235843,
1.8306126,
2.1871938,
2.6217375,
3.1410439,
3.7586556
],
[
0.0519585,
0.1237325,
0.1336174,
0.1452176,
0.1586384,
0.1732073,
0.1917649,
0.2119156,
0.237111,
0.2673832,
0.3036037,
0.3483946,
0.4008558,
0.4655,
0.5441236,
0.6399253,
0.7579139,
0.8992024,
1.0711969,
1.2733271,
1.5361449,
1.8186846,
2.1859841,
2.6297233,
3.1394627,
3.7710191
],
[
0.0513041,
0.1232059,
0.1336591,
0.1451317,
0.1579778,
0.1729792,
0.1915733,
0.2118114,
0.2373252,
0.2672261,
0.3045625,
0.3477312,
0.4006423,
0.4653775,
0.544414,
0.6408554,
0.7546865,
0.8969208,
1.0719139,
1.2835308,
1.5268801,
1.8336631,
2.1880266,
2.6189861,
3.148781,
3.7574095
],
[
0.051399,
0.1230344,
0.1334394,
0.145031,
0.157775,
0.1725983,
0.1908089,
0.2120814,
0.2369184,
0.266545,
0.3043903,
0.3480766,
0.4006084,
0.4651637,
0.5443769,
0.6395478,
0.7578035,
0.8994894,
1.0726443,
1.2826084,
1.5198403,
1.8279648,
2.185804,
2.6280482,
3.144027,
3.7607419
],
[
0.0521028,
0.124338,
0.1344543,
0.1466423,
0.1595631,
0.174768,
0.192819,
0.2128041,
0.2383908,
0.2682952,
0.3056489,
0.3463952,
0.399355,
0.4645319,
0.5440137,
0.6411756,
0.7571144,
0.8999449,
1.0679257,
1.2742561,
1.5276306,
1.8324385,
2.1915456,
2.6423086,
3.1422129,
3.7590238
],
[
0.0527056,
0.1250681,
0.135929,
0.1467635,
0.1603039,
0.1746344,
0.1929499,
0.2146706,
0.2392729,
0.2690434,
0.3063707,
0.3490782,
0.4024224,
0.4677203,
0.5447569,
0.6380821,
0.7545852,
0.9017082,
1.0726929,
1.2817907,
1.533576,
1.8238043,
2.1796245,
2.6190656,
3.1455982,
3.7623793
],
[
0.0569844,
0.1288206,
0.1391305,
0.1505891,
0.1645021,
0.1793785,
0.1972299,
0.2176836,
0.2418006,
0.2727415,
0.3084584,
0.3519082,
0.4041177,
0.4684882,
0.5468171,
0.6429231,
0.7594539,
0.8977752,
1.0738049,
1.2764259,
1.5181028,
1.8177584,
2.1802469,
2.6219635,
3.1574279,
3.769062
],
[
0.059783,
0.1311472,
0.141607,
0.1529856,
0.1668893,
0.1815094,
0.1993156,
0.2191493,
0.2440156,
0.2737144,
0.3093865,
0.3532847,
0.4053055,
0.4692128,
0.5478695,
0.6437141,
0.7591571,
0.9000378,
1.0755482,
1.2773579,
1.5214044,
1.8152883,
2.1745861,
2.616418,
3.1468543,
3.7612429
],
[
0.0632424,
0.1342819,
0.1446438,
0.1557255,
0.1684972,
0.1837344,
0.2002084,
0.2204783,
0.2448618,
0.2749476,
0.3098451,
0.3553166,
0.4062537,
0.4700651,
0.5481236,
0.643729,
0.7607545,
0.9018006,
1.0742744,
1.2813566,
1.5208079,
1.8319386,
2.1788265,
2.6150679,
3.1302378,
3.7558325
]
]
},
"related_pin": "GATE",
"rise_transition,delay_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0,
0.00873,
0.01047,
0.01257,
0.01509,
0.01811,
0.02173,
0.02607,
0.03128,
0.03753,
0.04504,
0.05405,
0.06486,
0.07783,
0.09339,
0.11207,
0.13448,
0.16137,
0.19364,
0.23237,
0.27884,
0.33461,
0.40153,
0.48183,
0.5782,
0.69384
],
"values": [
[
0.0407485,
0.0958392,
0.1049927,
0.116005,
0.1287975,
0.1445138,
0.1627978,
0.185181,
0.2111191,
0.2427629,
0.280684,
0.3264395,
0.3813691,
0.4472345,
0.5280101,
0.6269137,
0.7451611,
0.8911816,
1.0629054,
1.2713609,
1.5243015,
1.8287287,
2.1906164,
2.6247842,
3.1427188,
3.7772918
],
[
0.040823,
0.0958928,
0.1049889,
0.1157276,
0.1288132,
0.1443674,
0.1629274,
0.1851645,
0.2111388,
0.2426742,
0.2803688,
0.3261426,
0.38141,
0.4479837,
0.5282602,
0.6268927,
0.7480817,
0.8880959,
1.0639123,
1.2696009,
1.5252749,
1.8196047,
2.1904007,
2.6131572,
3.1414551,
3.7725041
],
[
0.0409217,
0.0961031,
0.1050177,
0.1158335,
0.1294813,
0.1442714,
0.1632856,
0.1850764,
0.2110185,
0.242774,
0.2807118,
0.3272901,
0.3823957,
0.4482452,
0.5280302,
0.627013,
0.7444847,
0.8897931,
1.0634879,
1.2783099,
1.5253033,
1.823707,
2.1859101,
2.6275124,
3.1468732,
3.7756465
],
[
0.0404759,
0.0957042,
0.1049832,
0.1157767,
0.128838,
0.1443006,
0.1629447,
0.1850807,
0.2110532,
0.2428303,
0.2807143,
0.3263615,
0.3815648,
0.44781,
0.5278108,
0.6270924,
0.7446543,
0.8898715,
1.0664811,
1.272747,
1.5326135,
1.8282609,
2.188453,
2.6166871,
3.145004,
3.7767105
],
[
0.0406242,
0.0958176,
0.1049188,
0.115675,
0.1287894,
0.1443669,
0.1627776,
0.1852353,
0.2113503,
0.2424401,
0.2806099,
0.3262196,
0.381061,
0.4478929,
0.5286883,
0.6253994,
0.7459231,
0.8898993,
1.0624733,
1.2717767,
1.5245332,
1.8290783,
2.1914404,
2.6237406,
3.1389775,
3.7571186
],
[
0.0403849,
0.0956215,
0.1051125,
0.1160698,
0.1288185,
0.144657,
0.1634504,
0.1854015,
0.2114183,
0.2430229,
0.2804283,
0.3265617,
0.381409,
0.4475282,
0.5288015,
0.6252695,
0.7459128,
0.8898661,
1.0626399,
1.2710754,
1.5245041,
1.8285448,
2.1914865,
2.6227926,
3.1474141,
3.7751815
],
[
0.0400518,
0.0951067,
0.1042933,
0.1151436,
0.1282332,
0.1441034,
0.1631151,
0.1847489,
0.2109022,
0.242778,
0.2806817,
0.3261976,
0.3819722,
0.4473739,
0.528176,
0.6269958,
0.746594,
0.8894515,
1.0628348,
1.2734608,
1.5243182,
1.8209435,
2.1856468,
2.6234033,
3.1419327,
3.7685939
],
[
0.0398449,
0.0946591,
0.1039706,
0.1149483,
0.1281603,
0.1438131,
0.1625717,
0.1849791,
0.2109356,
0.2429141,
0.2809934,
0.3266571,
0.3818558,
0.4482582,
0.5292912,
0.6263516,
0.7470763,
0.8895329,
1.0609763,
1.2719722,
1.5198572,
1.8307332,
2.187609,
2.6238767,
3.1469005,
3.7793839
],
[
0.0396392,
0.0941323,
0.103415,
0.1145505,
0.1277912,
0.1437279,
0.1626417,
0.1850755,
0.2114983,
0.2432416,
0.2812609,
0.327158,
0.3823033,
0.4488171,
0.5301906,
0.6268733,
0.7481064,
0.8945743,
1.0641751,
1.279139,
1.5241682,
1.837825,
2.1840303,
2.6179057,
3.142016,
3.7587871
],
[
0.0393802,
0.0941468,
0.1034849,
0.1147903,
0.1279026,
0.1438111,
0.1629182,
0.185077,
0.2112424,
0.2432352,
0.2815093,
0.3273168,
0.3835915,
0.4503186,
0.5305984,
0.6293008,
0.7488192,
0.8915789,
1.0663008,
1.273796,
1.5264988,
1.8209042,
2.1945794,
2.6253955,
3.1301624,
3.7584909
],
[
0.0395992,
0.0945145,
0.103682,
0.1147336,
0.1279691,
0.1440101,
0.162691,
0.1853322,
0.2116654,
0.2434657,
0.2816571,
0.327586,
0.3828923,
0.4493599,
0.5295955,
0.6298256,
0.7471756,
0.8927881,
1.0647919,
1.2755729,
1.5275532,
1.8286412,
2.1967026,
2.6172724,
3.1416814,
3.7542964
]
]
},
"timing_sense": "non_unate",
"timing_type": "rising_edge"
}
]
},
"pin,SCD": {
"capacitance": 0.002912,
"ccsn_first_stage": [
{
"dc_current,ccsn_dc": {
"index_1": [
-5.5,
-2.75,
-1.1,
-0.55,
0,
0.275,
0.55,
0.825,
1.1,
1.375,
1.65,
1.925,
2.2,
2.475,
2.75,
3.025,
3.3,
3.575,
3.85,
4.125,
4.4,
4.675,
4.95,
5.225,
5.5,
6.05,
6.6,
8.25,
11
],
"index_2": [
-5.5,
-2.75,
-1.1,
-0.55,
0,
0.275,
0.55,
0.825,
1.1,
1.375,
1.65,
1.925,
2.2,
2.475,
2.75,
3.025,
3.3,
3.575,
3.85,
4.125,
4.4,
4.675,
4.95,
5.225,
5.5,
6.05,
6.6,
8.25,
11
],
"values": [
[
16.468,
6.61116,
0.858563,
0.260731,
0.25718,
0.255245,
0.253158,
0.250881,
0.248369,
0.245559,
0.24236,
0.238634,
0.234159,
0.228548,
0.221076,
0.210459,
0.196053,
0.178926,
0.159549,
0.138015,
0.11438,
0.0887,
0.0610354,
0.0314481,
-6.78153e-08,
-0.0659423,
-0.160015,
-6.01225,
-16.8667
],
[
16.4582,
6.60438,
0.851326,
0.24945,
0.246172,
0.244379,
0.242438,
0.240316,
0.237967,
0.235331,
0.232314,
0.22878,
0.2245,
0.219076,
0.21175,
0.201286,
0.18741,
0.171093,
0.152642,
0.132122,
0.109576,
0.0850462,
0.0585781,
0.0302151,
-5.84505e-08,
-0.0634274,
-0.154919,
-6.01177,
-16.8662
],
[
16.4476,
6.59696,
0.843381,
0.236995,
0.234026,
0.232394,
0.230623,
0.228679,
0.226521,
0.224085,
0.221283,
0.217974,
0.213926,
0.208727,
0.20159,
0.191387,
0.178201,
0.162807,
0.145385,
0.125974,
0.104601,
0.0812914,
0.0560717,
0.0289668,
-5.95005e-08,
-0.0609268,
-0.149952,
-6.01128,
-16.8658
],
[
16.4441,
6.59359,
0.839574,
0.230908,
0.228095,
0.226544,
0.224858,
0.223005,
0.220943,
0.21861,
0.215918,
0.212725,
0.208799,
0.203719,
0.196688,
0.186654,
0.173838,
0.158903,
0.141985,
0.123111,
0.102298,
0.0795632,
0.0549248,
0.0283989,
-5.7608e-08,
-0.0598054,
-0.147758,
-6.01107,
-16.8656
],
[
16.4427,
6.59251,
0.837785,
0.223338,
0.220591,
0.219146,
0.217572,
0.215838,
0.213902,
0.211704,
0.209156,
0.206117,
0.202353,
0.197433,
0.190553,
0.180777,
0.168453,
0.154107,
0.137826,
0.119622,
0.0995038,
0.0774757,
0.0535454,
0.0277185,
-5.34012e-08,
-0.058476,
-0.145188,
-6.01083,
-16.8654
],
[
16.4414,
6.59192,
0.83825,
0.220939,
0.216031,
0.214653,
0.213149,
0.211489,
0.209633,
0.20752,
0.205063,
0.202123,
0.198461,
0.193646,
0.186867,
0.177272,
0.165258,
0.151273,
0.135377,
0.117577,
0.0978719,
0.0762612,
0.0527456,
0.0273254,
-2.47311e-07,
-0.0577155,
-0.143731,
-6.01069,
-16.8652
],
[
16.4393,
6.59082,
0.839082,
0.225457,
0.210745,
0.209445,
0.208023,
0.206451,
0.204688,
0.202675,
0.200326,
0.1975,
0.193962,
0.189271,
0.182619,
0.173254,
0.161605,
0.148034,
0.13258,
0.115237,
0.0959993,
0.0748589,
0.0518083,
0.0268411,
-4.8885e-05,
-0.0569274,
-0.142189,
-6.01057,
-16.8651
],
[
16.4362,
6.58912,
0.839979,
0.231419,
0.203724,
0.201987,
0.200358,
0.198617,
0.196704,
0.194556,
0.192083,
0.189146,
0.185506,
0.180722,
0.173993,
0.16468,
0.153221,
0.139887,
0.124698,
0.107705,
0.0889652,
0.0683483,
0.0458171,
0.0213556,
-0.00504922,
-0.0610188,
-0.145391,
-6.01315,
-16.8679
],
[
16.4319,
6.58649,
0.84009,
0.23512,
0.192306,
0.184018,
0.18066,
0.178055,
0.175576,
0.173016,
0.170231,
0.167057,
0.163241,
0.158334,
0.151556,
0.142379,
0.131212,
0.118254,
0.103541,
0.0871486,
0.0690236,
0.0490313,
0.0271188,
0.00325795,
-0.0225727,
-0.077522,
-0.160725,
-6.02255,
-16.878
],
[
16.4259,
6.58242,
0.838465,
0.234412,
0.179914,
0.162202,
0.15433,
0.150361,
0.14734,
0.144569,
0.141757,
0.138679,
0.135055,
0.130416,
0.123991,
0.115357,
0.104866,
0.0926599,
0.0787731,
0.0632223,
0.0458865,
0.0266592,
0.00548835,
-0.0176605,
-0.042815,
-0.096574,
-0.178443,
-6.0333,
-16.8898
],
[
16.4185,
6.57683,
0.834711,
0.229139,
0.165948,
0.14105,
0.125886,
0.119001,
0.115066,
0.112014,
0.109224,
0.106376,
0.103163,
0.0991182,
0.0934887,
0.0858283,
0.0763162,
0.0650966,
0.0522326,
0.0376717,
0.0212714,
0.00294327,
-0.0173659,
-0.0396957,
-0.0640792,
-0.116494,
-0.196918,
-6.0446,
-16.9028
],
[
16.4101,
6.57,
0.828887,
0.220294,
0.150463,
0.120486,
0.0984746,
0.0861094,
0.080226,
0.0765202,
0.0735612,
0.0708147,
0.0679271,
0.0644702,
0.05986,
0.0537106,
0.0457427,
0.0358799,
0.0242802,
0.0108948,
-0.00439337,
-0.0216627,
-0.0409687,
-0.0623553,
-0.0858592,
-0.136758,
-0.215631,
-6.05621,
-16.9171
],
[
16.401,
6.5622,
0.821272,
0.208871,
0.13384,
0.100139,
0.0730594,
0.0541422,
0.0443617,
0.0392679,
0.0358068,
0.0329405,
0.0301748,
0.0270819,
0.023268,
0.0185329,
0.0125308,
0.00472066,
-0.00519459,
-0.0171229,
-0.0310597,
-0.0470625,
-0.0651855,
-0.0854736,
-0.107965,
-0.157141,
-0.234351,
-6.06809,
-16.9327
],
[
16.3915,
6.55363,
0.812283,
0.19564,
0.116449,
0.079906,
0.0491135,
0.0248448,
0.00927254,
0.00141074,
-0.00310374,
-0.00637617,
-0.00923347,
-0.0121701,
-0.015453,
-0.0191961,
-0.023605,
-0.0291302,
-0.0366359,
-0.0466134,
-0.0588556,
-0.07331,
-0.0900128,
-0.109002,
-0.130312,
-0.177517,
-0.252943,
-6.08025,
-16.95
],
[
16.3818,
6.54452,
0.802346,
0.18119,
0.0986085,
0.059829,
0.026213,
-0.00189724,
-0.0231373,
-0.0356826,
-0.042253,
-0.0463705,
-0.0495696,
-0.0525267,
-0.0554899,
-0.0585656,
-0.0618683,
-0.0657228,
-0.0707807,
-0.0780403,
-0.088042,
-0.100551,
-0.115512,
-0.132939,
-0.152851,
-0.197778,
-0.271299,
-6.09276,
-16.9691
],
[
16.3719,
6.5351,
0.791843,
0.166009,
0.0806225,
0.0400359,
0.00420043,
-0.026742,
-0.0521684,
-0.0702704,
-0.0805037,
-0.0862378,
-0.0901416,
-0.0933148,
-0.0961388,
-0.0987529,
-0.101288,
-0.104052,
-0.10744,
-0.112067,
-0.119051,
-0.12902,
-0.141789,
-0.157296,
-0.175525,
-0.217795,
-0.289302,
-6.10566,
-16.9904
],
[
16.3622,
6.52561,
0.781127,
0.150555,
0.0628152,
0.0207391,
-0.0168871,
-0.050015,
-0.0783807,
-0.101033,
-0.116272,
-0.124917,
-0.130138,
-0.133821,
-0.136679,
-0.138975,
-0.140984,
-0.143028,
-0.145365,
-0.148296,
-0.152435,
-0.159076,
-0.169,
-0.182088,
-0.198249,
-0.237388,
-0.306788,
-6.11901,
-17.0139
],
[
16.3527,
6.51632,
0.770572,
0.135326,
0.04559,
0.00226649,
-0.0368338,
-0.0717063,
-0.102244,
-0.128026,
-0.147912,
-0.160844,
-0.168411,
-0.173095,
-0.176192,
-0.178316,
-0.17999,
-0.181564,
-0.183227,
-0.185142,
-0.187563,
-0.191115,
-0.197354,
-0.207306,
-0.220863,
-0.256266,
-0.323495,
-6.13282,
-17.0395
],
[
16.3439,
6.50758,
0.760634,
0.120961,
0.0295115,
-0.0148682,
-0.0552001,
-0.0914985,
-0.123723,
-0.151688,
-0.174842,
-0.192131,
-0.203199,
-0.209637,
-0.213285,
-0.215443,
-0.216973,
-0.218274,
-0.219523,
-0.220826,
-0.222298,
-0.224148,
-0.226993,
-0.232857,
-0.243046,
-0.27392,
-0.338941,
-6.14692,
-17.0669
],
[
16.3362,
6.49992,
0.751944,
0.10837,
0.0154341,
-0.0298452,
-0.0712156,
-0.108697,
-0.142276,
-0.171861,
-0.197179,
-0.217613,
-0.232262,
-0.241087,
-0.245696,
-0.248184,
-0.249796,
-0.251029,
-0.252085,
-0.253066,
-0.254039,
-0.255079,
-0.256329,
-0.258381,
-0.264122,
-0.289388,
-0.352142,
-6.16078,
-17.0947
],
[
16.3305,
6.49412,
0.745451,
0.0989379,
0.00469703,
-0.0413465,
-0.0835938,
-0.122064,
-0.15675,
-0.187593,
-0.214422,
-0.236839,
-0.253923,
-0.264736,
-0.270376,
-0.273386,
-0.275269,
-0.276619,
-0.277681,
-0.278571,
-0.279353,
-0.280068,
-0.280759,
-0.281497,
-0.282866,
-0.300978,
-0.361197,
-6.17322,
-17.1206
],
[
16.3283,
6.49188,
0.743151,
0.0955694,
0.000165513,
-0.0465131,
-0.0894889,
-0.128776,
-0.164365,
-0.196205,
-0.224147,
-0.247801,
-0.266168,
-0.277914,
-0.284084,
-0.287444,
-0.289565,
-0.291072,
-0.292231,
-0.293172,
-0.293967,
-0.294658,
-0.295281,
-0.295882,
-0.29655,
-0.307088,
-0.363688,
-6.18271,
-17.1412
],
[
16.3286,
6.49215,
0.743793,
0.0964466,
3.86288e-07,
-0.0472137,
-0.0908034,
-0.130776,
-0.167116,
-0.199767,
-0.228576,
-0.253118,
-0.272241,
-0.284361,
-0.29075,
-0.294328,
-0.296646,
-0.298326,
-0.299637,
-0.300713,
-0.30163,
-0.302433,
-0.303163,
-0.303875,
-0.304673,
-0.309502,
-0.359906,
-6.18903,
-17.1553
],
[
16.329,
6.49247,
0.744474,
0.0973708,
4.38066e-08,
-0.0476846,
-0.0918112,
-0.132379,
-0.169362,
-0.202697,
-0.232211,
-0.257426,
-0.277002,
-0.289216,
-0.295646,
-0.299311,
-0.301724,
-0.303494,
-0.304887,
-0.306038,
-0.307023,
-0.307891,
-0.308684,
-0.309463,
-0.310346,
-0.313462,
-0.353949,
-6.19332,
-17.1651
],
[
16.3294,
6.49276,
0.74509,
0.0981935,
4.34612e-08,
-0.0481009,
-0.0927002,
-0.133788,
-0.17133,
-0.205248,
-0.235348,
-0.261086,
-0.280941,
-0.293127,
-0.299533,
-0.303227,
-0.305688,
-0.307506,
-0.308944,
-0.310138,
-0.311162,
-0.312066,
-0.312896,
-0.313719,
-0.31466,
-0.317968,
-0.350612,
-6.19649,
-17.1722
],
[
16.33,
6.49326,
0.746151,
0.0995864,
4.34738e-08,
-0.0488002,
-0.0941902,
-0.136142,
-0.174602,
-0.209461,
-0.240479,
-0.266979,
-0.28712,
-0.299135,
-0.30544,
-0.309141,
-0.311643,
-0.313509,
-0.314997,
-0.316236,
-0.317304,
-0.31825,
-0.319124,
-0.32,
-0.321019,
-0.324662,
-0.35541,
-6.20186,
-17.1833
],
[
16.3305,
6.49366,
0.747026,
0.10071,
4.37464e-08,
-0.0493595,
-0.0953794,
-0.138015,
-0.177193,
-0.212777,
-0.244478,
-0.271503,
-0.291753,
-0.30357,
-0.309776,
-0.313465,
-0.315983,
-0.317874,
-0.319388,
-0.320653,
-0.321746,
-0.322716,
-0.323616,
-0.324528,
-0.325603,
-0.329498,
-0.36078,
-6.20616,
-17.1918
],
[
16.3315,
6.4945,
0.748863,
0.102988,
6.06501e-08,
-0.050479,
-0.0977617,
-0.141762,
-0.182355,
-0.219342,
-0.252324,
-0.280232,
-0.300475,
-0.311826,
-0.317825,
-0.321473,
-0.324007,
-0.325932,
-0.327483,
-0.328787,
-0.329917,
-0.330926,
-0.331871,
-0.332846,
-0.334027,
-0.338414,
-0.370778,
-6.21421,
-17.2077
],
[
16.3324,
6.49519,
0.750379,
0.104755,
5.428e-07,
-0.0513266,
-0.0995997,
-0.144686,
-0.186409,
-0.224506,
-0.258471,
-0.286976,
-0.307067,
-0.318026,
-0.32387,
-0.327484,
-0.330025,
-0.33197,
-0.333546,
-0.334875,
-0.336031,
-0.337066,
-0.338043,
-0.339067,
-0.340334,
-0.345122,
-0.378361,
-6.22039,
-17.2199
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000492165,
"miller_cap_rise": 0.000431597,
"output_voltage_fall": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0526136,
0.1066843,
0.1726439,
0.2458782,
0.362351
],
"values": [
4.95,
3.85,
2.75,
1.65,
0.55
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.0983586,
0.151832,
0.2174142,
0.2899244,
0.4057223
],
"values": [
4.95,
3.85,
2.75,
1.65,
0.55
]
}
]
},
"output_voltage_rise": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0711109,
0.1429953,
0.2392581,
0.3568204,
0.5703857
],
"values": [
0.55,
1.65,
2.75,
3.85,
4.95
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.1183031,
0.189357,
0.2853234,
0.4029938,
0.6158778
],
"values": [
0.55,
1.65,
2.75,
3.85,
4.95
]
}
]
},
"propagated_noise_high": {
"vector,ccsn_pnlh": [
{
"index_1": [
2.475
],
"index_2": [
1.204
],
"index_3": [
0.001
],
"index_4": [
0.5199092,
0.6116321,
0.7254305,
0.9009714,
1.0264942
],
"values": [
4.91592,
4.56547,
4.33184,
4.56547,
4.91592
]
},
{
"index_1": [
2.75
],
"index_2": [
0.985
],
"index_3": [
0.001
],
"index_4": [
0.4329051,
0.5088799,
0.6218011,
0.7680833,
0.8857478
],
"values": [
4.83185,
4.43096,
4.1637,
4.43096,
4.83185
]
},
{
"index_1": [
3.025
],
"index_2": [
0.828196
],
"index_3": [
0.001
],
"index_4": [
0.3685491,
0.4343424,
0.5295203,
0.672271,
0.7841318
],
"values": [
4.75333,
4.30533,
4.00666,
4.30533,
4.75333
]
},
{
"index_1": [
2.475
],
"index_2": [
0.601998
],
"index_3": [
0.001
],
"index_4": [
0.2811519,
0.325891,
0.3951447,
0.500346,
0.6003385
],
"values": [
5.08914,
4.84262,
4.67828,
4.84262,
5.08914
]
},
{
"index_1": [
2.75
],
"index_2": [
0.4925
],
"index_3": [
0.001
],
"index_4": [
0.2351559,
0.272978,
0.3420968,
0.4292764,
0.5230951
],
"values": [
5.04751,
4.77602,
4.59502,
4.77602,
5.04751
]
},
{
"index_1": [
3.025
],
"index_2": [
0.414098
],
"index_3": [
0.001
],
"index_4": [
0.2019649,
0.2349,
0.2927512,
0.3730502,
0.4634433
],
"values": [
5.01143,
4.71829,
4.52286,
4.71829,
5.01143
]
},
{
"index_1": [
2.75
],
"index_2": [
1.4775
],
"index_3": [
0.001
],
"index_4": [
0.6273635,
0.7440185,
0.9182156,
1.0920758,
1.2404091
],
"values": [
4.68811,
4.20098,
3.87622,
4.20098,
4.68811
]
}
]
},
"propagated_noise_low": {
"vector,ccsn_pnlh": [
{
"index_1": [
2.475
],
"index_2": [
2.33507
],
"index_3": [
0.001
],
"index_4": [
0.9691517,
1.1236931,
1.2657672,
1.4332922,
1.6047856
],
"values": [
0.244879,
0.391806,
0.489758,
0.391806,
0.244879
]
},
{
"index_1": [
2.75
],
"index_2": [
1.79013
],
"index_3": [
0.001
],
"index_4": [
0.7507666,
0.8725999,
0.9758046,
1.139463,
1.279372
],
"values": [
0.306163,
0.48986,
0.612325,
0.48986,
0.306163
]
},
{
"index_1": [
3.025
],
"index_2": [
1.43226
],
"index_3": [
0.001
],
"index_4": [
0.6081854,
0.7092515,
0.8019764,
0.9414019,
1.062655
],
"values": [
0.373577,
0.597723,
0.747153,
0.597723,
0.373577
]
},
{
"index_1": [
2.475
],
"index_2": [
1.16754
],
"index_3": [
0.001
],
"index_4": [
0.5150027,
0.5889274,
0.6624349,
0.7789265,
0.878215
],
"values": [
0.211448,
0.338317,
0.422897,
0.338317,
0.211448
]
},
{
"index_1": [
2.75
],
"index_2": [
0.895065
],
"index_3": [
0.001
],
"index_4": [
0.4025707,
0.458564,
0.5252366,
0.6269805,
0.7125939
],
"values": [
0.253196,
0.405113,
0.506391,
0.405113,
0.253196
]
},
{
"index_1": [
3.025
],
"index_2": [
0.716129
],
"index_3": [
0.001
],
"index_4": [
0.3280288,
0.3731689,
0.4406854,
0.5237383,
0.6016529
],
"values": [
0.293136,
0.469017,
0.586271,
0.469017,
0.293136
]
},
{
"index_1": [
2.75
],
"index_2": [
2.68519
],
"index_3": [
0.001
],
"index_4": [
1.0929642,
1.2884639,
1.4527006,
1.6380345,
1.8356892
],
"values": [
0.329458,
0.527132,
0.658915,
0.527132,
0.329458
]
}
]
},
"stage_type": "both"
},
{
"dc_current,ccsn_dc": {
"index_1": [
-5.5,
-2.75,
-1.1,
-0.55,
0,
0.275,
0.55,
0.825,
1.1,
1.375,
1.65,
1.925,
2.2,
2.475,
2.75,
3.025,
3.3,
3.575,
3.85,
4.125,
4.4,
4.675,
4.95,
5.225,
5.5,
6.05,
6.6,
8.25,
11
],
"index_2": [
-5.5,
-2.75,
-1.1,
-0.55,
0,
0.275,
0.55,
0.825,
1.1,
1.375,
1.65,
1.925,
2.2,
2.475,
2.75,
3.025,
3.3,
3.575,
3.85,
4.125,
4.4,
4.675,
4.95,
5.225,
5.5,
6.05,
6.6,
8.25,
11
],
"values": [
[
9.19001,
3.65322,
0.43626,
0.254891,
0.249959,
0.247018,
0.243625,
0.240126,
0.236388,
0.232097,
0.226874,
0.220098,
0.210899,
0.198954,
0.184876,
0.169002,
0.151458,
0.132425,
0.112311,
0.0924058,
0.0757665,
0.0586509,
0.0402892,
0.0207256,
-1.05908e-07,
-0.0437039,
-0.0997135,
-4.48407,
-12.6095
],
[
9.18904,
3.65218,
0.433381,
0.244344,
0.239846,
0.237169,
0.234066,
0.230778,
0.227297,
0.223302,
0.218424,
0.21206,
0.203377,
0.192089,
0.178755,
0.163649,
0.146864,
0.128566,
0.109151,
0.0898837,
0.0737294,
0.0570915,
0.0392322,
0.0201904,
-8.7854e-08,
-0.0425925,
-0.0975995,
-4.48374,
-12.6091
],
[
9.18796,
3.65103,
0.430271,
0.232651,
0.228629,
0.226237,
0.223462,
0.220424,
0.217227,
0.21356,
0.209064,
0.203153,
0.195043,
0.184495,
0.172004,
0.157775,
0.141861,
0.124397,
0.105764,
0.0871991,
0.0715736,
0.0554513,
0.0381274,
0.0196345,
-8.40139e-08,
-0.0414534,
-0.0954591,
-4.4834,
-12.6088
],
[
9.18758,
3.65049,
0.428789,
0.226918,
0.223129,
0.220875,
0.218261,
0.215353,
0.212298,
0.208793,
0.204485,
0.198798,
0.190971,
0.18079,
0.168719,
0.154928,
0.139449,
0.1224,
0.104153,
0.0859294,
0.070559,
0.0546831,
0.0376126,
0.0193767,
-8.06294e-08,
-0.040931,
-0.0944871,
-4.48325,
-12.6087
],
[
9.18828,
3.65067,
0.427962,
0.219655,
0.216153,
0.214074,
0.211662,
0.20893,
0.206056,
0.20276,
0.198692,
0.193292,
0.18583,
0.176121,
0.164584,
0.151354,
0.136435,
0.119918,
0.102159,
0.0843652,
0.0693135,
0.0537437,
0.0369854,
0.0190639,
-7.13059e-08,
-0.0403021,
-0.0933259,
-4.48308,
-12.6085
],
[
9.18928,
3.65084,
0.427706,
0.215234,
0.211905,
0.209932,
0.207643,
0.205024,
0.202263,
0.199094,
0.195176,
0.189953,
0.182716,
0.173297,
0.162089,
0.149202,
0.134626,
0.118435,
0.100974,
0.0834389,
0.0685785,
0.0531911,
0.0366176,
0.0188809,
-1.96186e-07,
-0.0399376,
-0.0926571,
-4.48297,
-12.6084
],
[
9.19013,
3.65131,
0.42723,
0.210094,
0.206968,
0.205118,
0.202969,
0.200485,
0.197854,
0.194835,
0.191089,
0.186073,
0.179101,
0.170021,
0.159194,
0.146705,
0.132527,
0.116713,
0.0995955,
0.0823582,
0.0677162,
0.0525362,
0.0361716,
0.0186429,
-3.37995e-05,
-0.0395661,
-0.0919483,
-4.48287,
-12.6083
],
[
9.19083,
3.65186,
0.427862,
0.202938,
0.199389,
0.197412,
0.195171,
0.192601,
0.189907,
0.18688,
0.183182,
0.178294,
0.171559,
0.162799,
0.152338,
0.140253,
0.126505,
0.111136,
0.09449,
0.0776618,
0.0632863,
0.0483444,
0.0322202,
0.0149309,
-0.00350673,
-0.0425995,
-0.0942918,
-4.48311,
-12.6085
],
[
9.19133,
3.65218,
0.428029,
0.189311,
0.179905,
0.177246,
0.174612,
0.171803,
0.168927,
0.165894,
0.162345,
0.157812,
0.151695,
0.143642,
0.133922,
0.122673,
0.109881,
0.0955449,
0.0798284,
0.063712,
0.0497177,
0.0350859,
0.0192757,
0.00230018,
-0.0157669,
-0.0543466,
-0.104616,
-4.48429,
-12.6094
],
[
9.19149,
3.65212,
0.427313,
0.177856,
0.154746,
0.150599,
0.147463,
0.144579,
0.141726,
0.13892,
0.135786,
0.131894,
0.12671,
0.119735,
0.111095,
0.100997,
0.0894693,
0.0765138,
0.0621557,
0.047055,
0.0335661,
0.0193486,
0.00395176,
-0.0125721,
-0.0301165,
-0.0680298,
-0.116863,
-4.48567,
-12.6106
],
[
9.19131,
3.65173,
0.425896,
0.167329,
0.130795,
0.121671,
0.116832,
0.113437,
0.110519,
0.107883,
0.105206,
0.102072,
0.0980349,
0.0925046,
0.0852873,
0.0765635,
0.0664546,
0.0550332,
0.042338,
0.028675,
0.0158462,
0.0021718,
-0.0126459,
-0.0285209,
-0.0454553,
-0.0825185,
-0.129973,
-4.48712,
-12.6118
],
[
9.19087,
3.65111,
0.424017,
0.156743,
0.111929,
0.0956541,
0.0855374,
0.0800742,
0.076447,
0.0735677,
0.0710349,
0.0683778,
0.0652593,
0.0612162,
0.0558968,
0.0491249,
0.040807,
0.0311223,
0.0202691,
0.00854496,
-0.00334092,
-0.0162184,
-0.0302099,
-0.0453112,
-0.0615287,
-0.0975034,
-0.143604,
-4.4886,
-12.6131
],
[
9.19027,
3.65034,
0.421856,
0.145928,
0.0959468,
0.075262,
0.0584939,
0.0474573,
0.0413285,
0.0374268,
0.0345033,
0.031874,
0.0291655,
0.0260109,
0.0221416,
0.0174135,
0.0115447,
0.00422573,
-0.004475,
-0.0139382,
-0.0241095,
-0.0358717,
-0.0488379,
-0.0629936,
-0.0783449,
-0.112909,
-0.157628,
-4.49012,
-12.6144
],
[
9.18955,
3.64946,
0.419531,
0.134848,
0.0813678,
0.058,
0.0372853,
0.0201068,
0.00819166,
0.00131561,
-0.0029636,
-0.00615383,
-0.00893741,
-0.0117535,
-0.0148597,
-0.0183391,
-0.0223121,
-0.0269891,
-0.0327152,
-0.0395273,
-0.0472416,
-0.0572445,
-0.0688606,
-0.081802,
-0.0960621,
-0.128807,
-0.172005,
-4.49169,
-12.6157
],
[
9.18875,
3.64853,
0.417124,
0.12361,
0.0676242,
0.0423885,
0.0192548,
-0.00145559,
-0.0188671,
-0.0315081,
-0.0393259,
-0.0442628,
-0.0477729,
-0.0507411,
-0.0535866,
-0.056442,
-0.0593712,
-0.0624471,
-0.0658491,
-0.0697715,
-0.0745738,
-0.0813508,
-0.0909404,
-0.102198,
-0.114998,
-0.145384,
-0.18673,
-4.49327,
-12.617
],
[
9.18792,
3.64755,
0.414699,
0.112354,
0.0545096,
0.0278649,
0.00301612,
-0.0197633,
-0.040041,
-0.0573082,
-0.0708483,
-0.0801812,
-0.0858592,
-0.0897545,
-0.0928761,
-0.095602,
-0.0980674,
-0.100338,
-0.102551,
-0.104862,
-0.107504,
-0.110929,
-0.116536,
-0.125095,
-0.135746,
-0.162868,
-0.201825,
-4.49487,
-12.6184
],
[
9.18708,
3.64657,
0.412314,
0.101256,
0.0420016,
0.0142395,
-0.0118801,
-0.0359741,
-0.0578946,
-0.0774798,
-0.0948496,
-0.110008,
-0.120579,
-0.127109,
-0.131435,
-0.134625,
-0.13711,
-0.139077,
-0.140732,
-0.142292,
-0.143939,
-0.145842,
-0.148308,
-0.152574,
-0.159532,
-0.181661,
-0.217326,
-4.49648,
-12.6197
],
[
9.18626,
3.64562,
0.410032,
0.0905552,
0.0302156,
0.00153967,
-0.0254664,
-0.0504992,
-0.0735067,
-0.0944117,
-0.113651,
-0.132241,
-0.148109,
-0.159675,
-0.167123,
-0.171885,
-0.175055,
-0.177194,
-0.178729,
-0.180003,
-0.181213,
-0.18247,
-0.183881,
-0.185694,
-0.18879,
-0.202546,
-0.23329,
-4.49809,
-12.6211
],
[
9.18549,
3.64472,
0.407933,
0.0806038,
0.0194196,
-0.00998263,
-0.0376202,
-0.0633507,
-0.087131,
-0.108903,
-0.129221,
-0.149535,
-0.168287,
-0.184192,
-0.196316,
-0.2045,
-0.209604,
-0.212704,
-0.214657,
-0.216042,
-0.21717,
-0.218194,
-0.219203,
-0.220282,
-0.22169,
-0.227273,
-0.249824,
-4.49968,
-12.6223
],
[
9.18483,
3.64395,
0.406136,
0.0719499,
0.0100965,
-0.0198351,
-0.0479613,
-0.0742217,
-0.0985725,
-0.120956,
-0.141985,
-0.163333,
-0.183585,
-0.201706,
-0.216978,
-0.228802,
-0.237066,
-0.242379,
-0.24564,
-0.247702,
-0.249143,
-0.250267,
-0.251226,
-0.252109,
-0.252996,
-0.255627,
-0.267143,
-4.50118,
-12.6235
],
[
9.18435,
3.64339,
0.404819,
0.0654602,
0.00305765,
-0.0272529,
-0.0557598,
-0.0824279,
-0.10721,
-0.130043,
-0.151575,
-0.173614,
-0.194772,
-0.214058,
-0.230826,
-0.244566,
-0.255184,
-0.262939,
-0.268131,
-0.271414,
-0.273566,
-0.275097,
-0.276278,
-0.277253,
-0.278111,
-0.280018,
-0.285475,
-4.50247,
-12.6244
],
[
9.18421,
3.64321,
0.404373,
0.0629913,
0.000107306,
-0.030476,
-0.0592763,
-0.0862585,
-0.111371,
-0.134546,
-0.156449,
-0.178965,
-0.200702,
-0.22066,
-0.238164,
-0.252732,
-0.264417,
-0.27348,
-0.279933,
-0.284156,
-0.286926,
-0.28886,
-0.290309,
-0.291463,
-0.292437,
-0.294387,
-0.299263,
-4.50343,
-12.6249
],
[
9.1843,
3.64329,
0.404521,
0.0633186,
2.53785e-07,
-0.030801,
-0.0598395,
-0.0870771,
-0.112458,
-0.135911,
-0.158109,
-0.180981,
-0.203128,
-0.223535,
-0.241495,
-0.256527,
-0.268747,
-0.278422,
-0.285479,
-0.290201,
-0.293347,
-0.295567,
-0.297242,
-0.298584,
-0.299719,
-0.301972,
-0.306967,
-4.50424,
-12.6252
],
[
9.18439,
3.64337,
0.404673,
0.0637004,
3.24814e-08,
-0.0309913,
-0.0602364,
-0.0876942,
-0.113306,
-0.136994,
-0.159439,
-0.182605,
-0.205084,
-0.22584,
-0.244139,
-0.259492,
-0.272063,
-0.282128,
-0.28956,
-0.294587,
-0.297964,
-0.300358,
-0.302171,
-0.303626,
-0.304857,
-0.307278,
-0.312239,
-4.50472,
-12.6255
],
[
9.18448,
3.64345,
0.404808,
0.0640376,
3.22028e-08,
-0.0311583,
-0.0605842,
-0.0882337,
-0.114044,
-0.137935,
-0.16059,
-0.184004,
-0.206757,
-0.227795,
-0.246356,
-0.261945,
-0.274771,
-0.285114,
-0.292809,
-0.298049,
-0.301583,
-0.304094,
-0.305997,
-0.307525,
-0.308818,
-0.311335,
-0.315566,
-4.50497,
-12.6257
],
[
9.18461,
3.64357,
0.405034,
0.0646025,
3.21173e-08,
-0.0314368,
-0.0611625,
-0.089128,
-0.115265,
-0.139483,
-0.162477,
-0.186284,
-0.209465,
-0.23093,
-0.249868,
-0.265787,
-0.278968,
-0.289696,
-0.297755,
-0.303287,
-0.307033,
-0.3097,
-0.311722,
-0.313345,
-0.314715,
-0.317337,
-0.320556,
-4.50548,
-12.626
],
[
9.18472,
3.64367,
0.405215,
0.0650526,
3.22167e-08,
-0.0316574,
-0.0616196,
-0.089833,
-0.116223,
-0.140695,
-0.163947,
-0.188051,
-0.211549,
-0.233323,
-0.252521,
-0.26866,
-0.282082,
-0.293074,
-0.301382,
-0.307114,
-0.311007,
-0.31378,
-0.315881,
-0.317566,
-0.318987,
-0.321668,
-0.324959,
-4.50597,
-12.6265
],
[
9.18494,
3.64387,
0.405579,
0.0659488,
4.27551e-08,
-0.0320929,
-0.0625217,
-0.091222,
-0.118108,
-0.143069,
-0.166816,
-0.191481,
-0.215567,
-0.237895,
-0.257536,
-0.274043,
-0.287881,
-0.299336,
-0.30809,
-0.314184,
-0.31834,
-0.321302,
-0.323545,
-0.32534,
-0.326849,
-0.329618,
-0.333066,
-4.50687,
-12.6273
],
[
9.18512,
3.64402,
0.405859,
0.0666223,
3.45768e-07,
-0.0324146,
-0.0631966,
-0.0922703,
-0.119538,
-0.144878,
-0.169005,
-0.194098,
-0.218628,
-0.241361,
-0.261308,
-0.278073,
-0.292212,
-0.304006,
-0.313092,
-0.319459,
-0.323815,
-0.326922,
-0.329272,
-0.33115,
-0.332726,
-0.335554,
-0.339119,
-4.50754,
-12.6279
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 6.9024e-05,
"miller_cap_rise": 0.00013265,
"output_voltage_fall": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0853419,
0.1458398,
0.2067717,
0.279321,
0.3969359
],
"values": [
4.95,
3.85,
2.75,
1.65,
0.55
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.1295452,
0.190553,
0.2511314,
0.3237913,
0.4416063
],
"values": [
4.95,
3.85,
2.75,
1.65,
0.55
]
}
]
},
"output_voltage_rise": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0953113,
0.1746795,
0.2696335,
0.3962127,
0.6162538
],
"values": [
0.55,
1.65,
2.75,
3.85,
4.95
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.1414764,
0.2210503,
0.3157108,
0.4423819,
0.6624217
],
"values": [
0.55,
1.65,
2.75,
3.85,
4.95
]
}
]
},
"propagated_noise_high": {
"vector,ccsn_pnlh": [
{
"index_1": [
2.475
],
"index_2": [
1.97494
],
"index_3": [
0.001
],
"index_4": [
0.857017,
1.0154468,
1.195831,
1.4316618,
1.6070164
],
"values": [
4.79579,
4.37327,
4.09159,
4.37327,
4.79579
]
},
{
"index_1": [
2.75
],
"index_2": [
1.60009
],
"index_3": [
0.001
],
"index_4": [
0.7151774,
0.8458156,
1.0055953,
1.2164382,
1.3745893
],
"values": [
4.66323,
4.16116,
3.82645,
4.16116,
4.66323
]
},
{
"index_1": [
3.025
],
"index_2": [
1.32878
],
"index_3": [
0.001
],
"index_4": [
0.615446,
0.721874,
0.8802508,
1.0554364,
1.203209
],
"values": [
4.52239,
3.93583,
3.54479,
3.93583,
4.52239
]
},
{
"index_1": [
2.475
],
"index_2": [
0.987468
],
"index_3": [
0.001
],
"index_4": [
0.4695818,
0.5448425,
0.6599617,
0.8062003,
0.9190607
],
"values": [
4.97637,
4.6622,
4.45275,
4.6622,
4.97637
]
},
{
"index_1": [
2.75
],
"index_2": [
0.800044
],
"index_3": [
0.001
],
"index_4": [
0.392192,
0.456927,
0.5523631,
0.6941354,
0.8026133
],
"values": [
4.91742,
4.56787,
4.33484,
4.56787,
4.91742
]
},
{
"index_1": [
3.025
],
"index_2": [
0.664388
],
"index_3": [
0.001
],
"index_4": [
0.3366958,
0.3935539,
0.4938432,
0.6065361,
0.7074219
],
"values": [
4.86386,
4.48217,
4.22772,
4.48217,
4.86386
]
},
{
"index_1": [
2.75
],
"index_2": [
2.40013
],
"index_3": [
0.001
],
"index_4": [
1.0456855,
1.2340575,
1.4208211,
1.708915,
1.9249438
],
"values": [
4.48641,
3.87825,
3.47281,
3.87825,
4.48641
]
}
]
},
"propagated_noise_low": {
"vector,ccsn_pnlh": [
{
"index_1": [
2.475
],
"index_2": [
3.87424
],
"index_3": [
0.001
],
"index_4": [
1.5868416,
1.8630143,
2.0718642,
2.3116844,
2.5801659
],
"values": [
0.260158,
0.416253,
0.520317,
0.416253,
0.260158
]
},
{
"index_1": [
2.75
],
"index_2": [
2.94869
],
"index_3": [
0.001
],
"index_4": [
1.2264055,
1.4346799,
1.6025323,
1.8136127,
2.0281166
],
"values": [
0.333743,
0.533988,
0.667485,
0.533988,
0.333743
]
},
{
"index_1": [
3.12108
],
"index_2": [
2.17434
],
"index_3": [
0.001
],
"index_4": [
0.9282326,
1.0862278,
1.192972,
1.3973562,
1.5611682
],
"values": [
0.45214,
0.723424,
0.90428,
0.723424,
0.45214
]
},
{
"index_1": [
2.475
],
"index_2": [
1.93712
],
"index_3": [
0.001
],
"index_4": [
0.8393044,
0.9693753,
1.0590097,
1.2463221,
1.3929683
],
"values": [
0.233905,
0.374247,
0.467809,
0.374247,
0.233905
]
},
{
"index_1": [
2.75
],
"index_2": [
1.47435
],
"index_3": [
0.001
],
"index_4": [
0.651154,
0.7509549,
0.8315622,
0.993461,
1.1154671
],
"values": [
0.288186,
0.461097,
0.576372,
0.461097,
0.288186
]
},
{
"index_1": [
3.12108
],
"index_2": [
1.08717
],
"index_3": [
0.001
],
"index_4": [
0.4987665,
0.571209,
0.6572498,
0.7754278,
0.8772205
],
"values": [
0.367424,
0.587878,
0.734847,
0.587878,
0.367424
]
},
{
"index_1": [
2.75
],
"index_2": [
4.42304
],
"index_3": [
0.001
],
"index_4": [
1.7983508,
2.1108086,
2.3294355,
2.6214006,
2.9304808
],
"values": [
0.351837,
0.562939,
0.703674,
0.562939,
0.351837
]
}
]
},
"stage_type": "both"
}
],
"clock": "false",
"direction": "input",
"fall_capacitance": 0.002801,
"input_voltage": "GENERAL",
"internal_power": {
"fall_power,hidden_pwr_template11": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.0555515,
0.0543552,
0.0530591,
0.0525486,
0.0509506,
0.0512925,
0.0519763,
0.0522843,
0.0531428,
0.0535885,
0.0540342
]
},
"rise_power,hidden_pwr_template11": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
-0.0496724,
-0.0489618,
-0.048192,
-0.0488177,
-0.0507764,
-0.0508653,
-0.0510432,
-0.0511216,
-0.0513402,
-0.0514536,
-0.051567
]
}
},
"max_transition": 3.75,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.003024,
"timing": [
{
"fall_constraint,vio_11_11_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"values": [
[
0.2449199,
0.2422442,
0.24728,
0.2504505,
0.2781556,
0.3325306,
0.4425013,
0.5390443,
0.777166,
0.8886569,
0.995265
],
[
0.2427129,
0.2412578,
0.2450729,
0.2494642,
0.2771693,
0.3315443,
0.441515,
0.538058,
0.7761797,
0.8876706,
0.9942787
],
[
0.2401185,
0.2386634,
0.2424785,
0.2468698,
0.2745748,
0.3289499,
0.4389206,
0.5354636,
0.7723646,
0.8850762,
0.9916843
],
[
0.2308444,
0.2281686,
0.2319837,
0.236375,
0.2640801,
0.3172344,
0.4272051,
0.523748,
0.7618698,
0.8733607,
0.9799688
],
[
0.2104635,
0.2077878,
0.2128236,
0.2159941,
0.2436992,
0.2944121,
0.3995,
0.496043,
0.732944,
0.8419935,
0.9498224
],
[
0.2000339,
0.2022409,
0.2023939,
0.2055645,
0.2296074,
0.2790996,
0.3744219,
0.4673027,
0.7017624,
0.8132532,
0.9198614
],
[
0.1706295,
0.1728366,
0.1742103,
0.1773809,
0.2026445,
0.2521367,
0.3523418,
0.4244707,
0.6540475,
0.7667591,
0.874588
],
[
0.1387839,
0.1409909,
0.1411439,
0.1455352,
0.1707988,
0.2215117,
0.3253789,
0.4109355,
0.6148776,
0.7263685,
0.8354181
],
[
0.0556914,
0.0578985,
0.0592722,
0.0624427,
0.0864857,
0.1359779,
0.2410658,
0.3388294,
0.5305645,
0.6383933,
0.7474428
],
[
0.0186634,
0.0208704,
0.0210234,
0.024194,
0.0470163,
0.0965084,
0.2015963,
0.29936,
0.511847,
0.6001445,
0.7067526
],
[
-0.0159233,
-0.0149369,
-0.0135632,
-0.0103927,
0.0112089,
0.0582597,
0.1633476,
0.2611112,
0.4980123,
0.5789856,
0.6733867
]
]
},
"related_pin": "GATE",
"rise_constraint,vio_11_11_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"values": [
[
0.1423809,
0.1433672,
0.1398581,
0.1479115,
0.1621888,
0.1848255,
0.2130091,
0.2228822,
0.2034356,
0.1782077,
0.1480971
],
[
0.1413946,
0.1411602,
0.1376511,
0.1457044,
0.1599818,
0.1838392,
0.2120228,
0.2231166,
0.2024492,
0.1772214,
0.1471108
],
[
0.1388001,
0.1397864,
0.1350566,
0.14311,
0.1573874,
0.1812448,
0.2094284,
0.2205221,
0.1998548,
0.1746269,
0.1445164
],
[
0.1258639,
0.1268503,
0.1221204,
0.1301738,
0.1444512,
0.1683086,
0.1964922,
0.2075859,
0.1869186,
0.1629115,
0.1328009
],
[
0.0822897,
0.0869381,
0.0858704,
0.0865996,
0.0996563,
0.1247344,
0.152918,
0.1615703,
0.140903,
0.1193372,
0.0916681
],
[
0.0254733,
0.028901,
0.029054,
0.0310039,
0.0452813,
0.0703594,
0.0997637,
0.1096367,
0.0914108,
0.0686244,
0.0385138
],
[
-0.0625247,
-0.0578763,
-0.058944,
-0.0569941,
-0.0414961,
-0.016418,
0.0129863,
0.0228594,
0.0058542,
-0.0169323,
-0.0458222
],
[
-0.1297708,
-0.1263431,
-0.1274108,
-0.1266816,
-0.113625,
-0.0897676,
-0.0603633,
-0.0480488,
-0.0674954,
-0.0890612,
-0.1191718
],
[
-0.292209,
-0.2875605,
-0.2874075,
-0.2866784,
-0.2748424,
-0.2558678,
-0.2276842,
-0.2153698,
-0.2335957,
-0.2551614,
-0.2828306
],
[
-0.3658581,
-0.3599889,
-0.3622774,
-0.3603275,
-0.3472709,
-0.3282962,
-0.3037748,
-0.2914603,
-0.3060241,
-0.331252,
-0.3589212
],
[
-0.4346245,
-0.429976,
-0.4310437,
-0.4290939,
-0.4172579,
-0.4007247,
-0.3749825,
-0.3638888,
-0.3784526,
-0.401239,
-0.4301289
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "setup_falling",
"violation_delay_degrade_pct": 10
},
{
"fall_constraint,vio_11_11_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"values": [
[
-0.2189473,
-0.2187129,
-0.2213073,
-0.2256986,
-0.2546244,
-0.3126615,
-0.427515,
-0.5301615,
-0.773166,
-0.8846569,
-0.991265
],
[
-0.2167402,
-0.2165058,
-0.2191003,
-0.2234915,
-0.2524173,
-0.3104544,
-0.4265287,
-0.5279544,
-0.770959,
-0.8836706,
-0.9902787
],
[
-0.2141458,
-0.2139114,
-0.2165059,
-0.2208971,
-0.2498229,
-0.30786,
-0.4239342,
-0.52536,
-0.7683646,
-0.8810762,
-0.9876843
],
[
-0.2024303,
-0.2034167,
-0.2047904,
-0.2104023,
-0.2393281,
-0.2961445,
-0.4122187,
-0.5136445,
-0.7566491,
-0.86814,
-0.9759688
],
[
-0.1735045,
-0.1757116,
-0.1758646,
-0.1790352,
-0.2104023,
-0.2672188,
-0.3808516,
-0.4822773,
-0.7252819,
-0.8379935,
-0.9446017
],
[
-0.1411022,
-0.1433092,
-0.144683,
-0.1478535,
-0.1767793,
-0.232375,
-0.3484492,
-0.4510957,
-0.6953209,
-0.8080325,
-0.9146407
],
[
-0.0921666,
-0.0943737,
-0.0945267,
-0.098918,
-0.1278438,
-0.1834395,
-0.298293,
-0.3997187,
-0.6463854,
-0.7615384,
-0.8693673
],
[
-0.0505553,
-0.0527624,
-0.0541361,
-0.0573066,
-0.0862324,
-0.1406074,
-0.2554609,
-0.3581074,
-0.6059948,
-0.7199271,
-0.8301974
],
[
0.0532891,
0.051082,
0.050929,
0.0465378,
0.0188327,
-0.0355423,
-0.1516165,
-0.2579251,
-0.5094746,
-0.627069,
-0.7422221
],
[
0.1049655,
0.1027585,
0.1013847,
0.0969935,
0.0692884,
0.0149134,
-0.1023815,
-0.2099108,
-0.4663431,
-0.5827168,
-0.6954285
],
[
0.1542006,
0.1519936,
0.1518406,
0.1474493,
0.1185235,
0.0641485,
-0.0543671,
-0.1631171,
-0.4232115,
-0.5408059,
-0.6547383
]
]
},
"related_pin": "GATE",
"rise_constraint,vio_11_11_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"values": [
[
-0.1493672,
-0.1527949,
-0.1529479,
-0.1536771,
-0.1679544,
-0.1930326,
-0.226099,
-0.2396341,
-0.2275117,
-0.2047253,
-0.1770561
],
[
-0.1471601,
-0.1505879,
-0.1507409,
-0.1526908,
-0.1657474,
-0.1908255,
-0.2238919,
-0.2374271,
-0.2253047,
-0.203739,
-0.1760698
],
[
-0.1457864,
-0.1492142,
-0.1481465,
-0.1500963,
-0.163153,
-0.1882311,
-0.2225182,
-0.2360534,
-0.2227103,
-0.2011445,
-0.1734754
],
[
-0.1316295,
-0.136278,
-0.1352103,
-0.1371602,
-0.1502168,
-0.1752949,
-0.2083613,
-0.2231172,
-0.2097741,
-0.189429,
-0.1617599
],
[
-0.0880553,
-0.0914831,
-0.0916361,
-0.0923652,
-0.1054219,
-0.1305,
-0.1647871,
-0.1771016,
-0.1686413,
-0.1458548,
-0.120627
],
[
-0.0312389,
-0.0346666,
-0.0348197,
-0.0367695,
-0.0510469,
-0.0773457,
-0.1116328,
-0.125168,
-0.1142663,
-0.0951419,
-0.066252
],
[
0.0555384,
0.0533314,
0.0531784,
0.0512285,
0.0369512,
0.0094316,
-0.0248555,
-0.0396113,
-0.0299303,
-0.0095853,
0.0168632
],
[
0.1276673,
0.1230189,
0.1228659,
0.1221367,
0.1078594,
0.0815605,
0.0472734,
0.0325176,
0.0421986,
0.0613229,
0.0877714
],
[
0.2888848,
0.2842364,
0.285304,
0.2833542,
0.2702975,
0.2464401,
0.2145944,
0.1986178,
0.2070781,
0.2262025,
0.2514302
],
[
0.3637546,
0.3591062,
0.3589531,
0.358224,
0.3451673,
0.3225306,
0.2906849,
0.2747083,
0.2807272,
0.3010722,
0.3275207
],
[
0.4337416,
0.4303139,
0.4301609,
0.428211,
0.4151544,
0.3937384,
0.3643341,
0.3471368,
0.3531557,
0.37228,
0.3975078
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "hold_falling",
"violation_delay_degrade_pct": 10
}
]
},
"pin,SCE": {
"capacitance": 0.005363,
"ccsn_first_stage": [
{
"dc_current,ccsn_dc": {
"index_1": [
-5.5,
-2.75,
-1.1,
-0.55,
0,
0.275,
0.55,
0.825,
1.1,
1.375,
1.65,
1.925,
2.2,
2.475,
2.75,
3.025,
3.3,
3.575,
3.85,
4.125,
4.4,
4.675,
4.95,
5.225,
5.5,
6.05,
6.6,
8.25,
11
],
"index_2": [
-5.5,
-2.75,
-1.1,
-0.55,
0,
0.275,
0.55,
0.825,
1.1,
1.375,
1.65,
1.925,
2.2,
2.475,
2.75,
3.025,
3.3,
3.575,
3.85,
4.125,
4.4,
4.675,
4.95,
5.225,
5.5,
6.05,
6.6,
8.25,
11
],
"values": [
[
16.4698,
6.61254,
0.859841,
0.262933,
0.259335,
0.257383,
0.255276,
0.252978,
0.250445,
0.247612,
0.244388,
0.240635,
0.236131,
0.230492,
0.222994,
0.212348,
0.197849,
0.180577,
0.16103,
0.139304,
0.115454,
0.0895385,
0.0616161,
0.0317491,
-5.93547e-08,
-0.0665631,
-0.159772,
-6.01127,
-16.8657
],
[
16.46,
6.60587,
0.852708,
0.251838,
0.248508,
0.246694,
0.244732,
0.242587,
0.240214,
0.237551,
0.234506,
0.230942,
0.22663,
0.221175,
0.213821,
0.203317,
0.189331,
0.172855,
0.15422,
0.133493,
0.110717,
0.0859357,
0.0591929,
0.0305332,
-5.76352e-08,
-0.064082,
-0.154686,
-6.01079,
-16.8653
],
[
16.4497,
6.59855,
0.844874,
0.239581,
0.236554,
0.234898,
0.233103,
0.231134,
0.228947,
0.226482,
0.223648,
0.220305,
0.216222,
0.210988,
0.203819,
0.193562,
0.180245,
0.164678,
0.147057,
0.127425,
0.105806,
0.0822286,
0.0567181,
0.0293006,
-5.35954e-08,
-0.0616113,
-0.149719,
-6.01031,
-16.8648
],
[
16.4472,
6.59553,
0.841196,
0.233588,
0.230713,
0.229138,
0.227426,
0.225547,
0.223455,
0.221091,
0.218365,
0.215137,
0.211173,
0.206055,
0.19899,
0.188893,
0.175936,
0.160822,
0.143699,
0.124596,
0.10353,
0.0805207,
0.0555847,
0.0287393,
-6.24139e-08,
-0.0605021,
-0.147523,
-6.0101,
-16.8646
],
[
16.4468,
6.59556,
0.841593,
0.226134,
0.223322,
0.221852,
0.22025,
0.218487,
0.21652,
0.214289,
0.211705,
0.208628,
0.204823,
0.199863,
0.192944,
0.183092,
0.170615,
0.156081,
0.139587,
0.121147,
0.100768,
0.0784565,
0.0542205,
0.0280664,
-6.52272e-08,
-0.0591863,
-0.144946,
-6.00986,
-16.8644
],
[
16.4459,
6.59547,
0.843675,
0.223966,
0.21883,
0.217425,
0.215893,
0.214203,
0.212315,
0.210167,
0.207673,
0.204692,
0.200988,
0.19613,
0.18931,
0.17963,
0.167458,
0.153279,
0.137166,
0.119124,
0.0991537,
0.0772548,
0.0534291,
0.0276773,
-3.52051e-07,
-0.0584333,
-0.143484,
-6.00972,
-16.8643
],
[
16.4442,
6.59481,
0.845763,
0.230095,
0.213621,
0.212292,
0.210841,
0.209238,
0.20744,
0.205391,
0.203002,
0.200134,
0.196551,
0.191814,
0.185117,
0.175655,
0.163841,
0.150072,
0.134395,
0.116805,
0.0972936,
0.075855,
0.052483,
0.0271718,
-8.46605e-05,
-0.0577176,
-0.142049,
-6.00975,
-16.8646
],
[
16.4415,
6.59349,
0.847542,
0.237669,
0.206508,
0.204781,
0.203147,
0.2014,
0.19948,
0.197324,
0.194841,
0.191892,
0.188239,
0.18344,
0.176692,
0.167306,
0.155711,
0.142207,
0.126808,
0.109488,
0.0902162,
0.0689574,
0.0456733,
0.0203209,
-0.00714743,
-0.0658758,
-0.152679,
-6.02188,
-16.8941
],
[
16.4373,
6.59097,
0.848202,
0.241551,
0.194719,
0.187576,
0.184733,
0.182402,
0.180115,
0.177703,
0.175039,
0.171961,
0.168223,
0.163372,
0.156616,
0.147391,
0.136104,
0.12295,
0.107905,
0.0909091,
0.0718881,
0.0507559,
0.027413,
0.00174704,
-0.0263667,
-0.0876989,
-0.179586,
-6.04774,
-16.9502
],
[
16.431,
6.58669,
0.846805,
0.240118,
0.181843,
0.166252,
0.160512,
0.157425,
0.154887,
0.152438,
0.149857,
0.14695,
0.143446,
0.138875,
0.132453,
0.123739,
0.113084,
0.100601,
0.086238,
0.0699082,
0.0515033,
0.0308949,
0.00793317,
-0.0175521,
-0.0457495,
-0.108288,
-0.203161,
-6.06912,
-16.993
],
[
16.4231,
6.58068,
0.842768,
0.233779,
0.167293,
0.144442,
0.133254,
0.128682,
0.125765,
0.123298,
0.120895,
0.118317,
0.115286,
0.111335,
0.105694,
0.0979203,
0.0881995,
0.0766298,
0.0631764,
0.0477414,
0.0301922,
0.0103671,
-0.011925,
-0.0369036,
-0.0648133,
-0.127644,
-0.224239,
-6.08769,
-17.029
],
[
16.4141,
6.57326,
0.836132,
0.22377,
0.151134,
0.122827,
0.105154,
0.0974877,
0.0937566,
0.0910934,
0.0887573,
0.0864232,
0.0838115,
0.0805138,
0.0759396,
0.0696931,
0.0614766,
0.0511853,
0.0389086,
0.0246084,
0.00814937,
-0.0106519,
-0.0320178,
-0.0562075,
-0.0835131,
-0.145869,
-0.243194,
-6.104,
-17.0597
],
[
16.4042,
6.56464,
0.826982,
0.211324,
0.133927,
0.101517,
0.0781632,
0.0655031,
0.060122,
0.0569752,
0.0545354,
0.0522938,
0.049932,
0.0470836,
0.04338,
0.0386157,
0.0323846,
0.0240627,
0.0134005,
0.000546156,
-0.0145489,
-0.0320657,
-0.0522438,
-0.0753706,
-0.101775,
-0.162969,
-0.260197,
-6.11847,
-17.0861
],
[
16.394,
6.5554,
0.816344,
0.197788,
0.116608,
0.0810497,
0.0532478,
0.0348986,
0.0264498,
0.0223667,
0.0196227,
0.0173291,
0.0150684,
0.0124933,
0.00940024,
0.00567517,
0.0010484,
-0.00500216,
-0.0132957,
-0.0242138,
-0.037587,
-0.0535039,
-0.0721969,
-0.0939647,
-0.11916,
-0.178587,
-0.274932,
-6.131,
-17.1083
],
[
16.384,
6.5461,
0.805788,
0.183206,
0.0989585,
0.0609225,
0.0296548,
0.00632332,
-0.00677588,
-0.0125754,
-0.0158941,
-0.0183847,
-0.0206635,
-0.0230784,
-0.0257546,
-0.0287887,
-0.0323466,
-0.0367226,
-0.042499,
-0.050688,
-0.0617966,
-0.0756983,
-0.0925446,
-0.112619,
-0.13628,
-0.193284,
-0.287996,
-6.14176,
-17.1267
],
[
16.3739,
6.53653,
0.794775,
0.167912,
0.0811351,
0.0411072,
0.00709548,
-0.020137,
-0.0384942,
-0.0472715,
-0.0515968,
-0.0544635,
-0.0568688,
-0.0592053,
-0.0616101,
-0.064183,
-0.067034,
-0.0703268,
-0.0743635,
-0.0797909,
-0.0878323,
-0.0991279,
-0.113661,
-0.131646,
-0.153412,
-0.207323,
-0.299724,
-6.15112,
-17.1417
],
[
16.3638,
6.5269,
0.783603,
0.152342,
0.0634505,
0.0217915,
-0.0144301,
-0.0447023,
-0.0676759,
-0.0808241,
-0.0868907,
-0.0903941,
-0.093041,
-0.0953833,
-0.09763,
-0.0998953,
-0.102267,
-0.104846,
-0.107791,
-0.111408,
-0.116417,
-0.124288,
-0.135853,
-0.151228,
-0.170665,
-0.220765,
-0.310217,
-6.15924,
-17.1538
],
[
16.3541,
6.51746,
0.772622,
0.136973,
0.0462938,
0.00327942,
-0.0347492,
-0.0674402,
-0.0939875,
-0.112066,
-0.121017,
-0.125575,
-0.12862,
-0.131069,
-0.133251,
-0.135317,
-0.137358,
-0.139451,
-0.141685,
-0.14421,
-0.147335,
-0.151852,
-0.159572,
-0.17161,
-0.188151,
-0.233625,
-0.319529,
-6.16626,
-17.1632
],
[
16.3451,
6.50855,
0.762267,
0.122418,
0.0302103,
-0.0139431,
-0.053468,
-0.0881201,
-0.117404,
-0.139824,
-0.15289,
-0.159194,
-0.162889,
-0.165567,
-0.16777,
-0.169719,
-0.171532,
-0.173284,
-0.175038,
-0.176873,
-0.178928,
-0.181499,
-0.185445,
-0.193151,
-0.206002,
-0.245869,
-0.327657,
-6.17232,
-17.1701
],
[
16.3372,
6.50067,
0.753151,
0.109555,
0.0160284,
-0.0290881,
-0.0698626,
-0.106126,
-0.137562,
-0.163302,
-0.180973,
-0.190055,
-0.194804,
-0.197874,
-0.200184,
-0.202087,
-0.203748,
-0.20526,
-0.206683,
-0.208068,
-0.209484,
-0.211053,
-0.213042,
-0.21638,
-0.2244,
-0.2574,
-0.334501,
-6.17754,
-17.1748
],
[
16.3311,
6.4946,
0.746209,
0.0997434,
0.00506292,
-0.0408671,
-0.0826882,
-0.120285,
-0.15345,
-0.181673,
-0.203386,
-0.216304,
-0.222741,
-0.226431,
-0.228948,
-0.230869,
-0.232442,
-0.233792,
-0.23499,
-0.236083,
-0.237114,
-0.238146,
-0.239292,
-0.24078,
-0.243631,
-0.268041,
-0.339762,
-6.18204,
-17.1775
],
[
16.3286,
6.49207,
0.743485,
0.0959103,
0.000201407,
-0.0464017,
-0.0890885,
-0.127783,
-0.162346,
-0.192468,
-0.217226,
-0.234307,
-0.243274,
-0.247934,
-0.250809,
-0.252843,
-0.254413,
-0.255696,
-0.256787,
-0.257742,
-0.258602,
-0.259413,
-0.260254,
-0.26127,
-0.262714,
-0.277698,
-0.342781,
-6.18601,
-17.1783
],
[
16.3288,
6.49225,
0.743984,
0.0966354,
4.83094e-07,
-0.0471674,
-0.0905802,
-0.130191,
-0.165908,
-0.197537,
-0.224543,
-0.245327,
-0.257817,
-0.264166,
-0.267779,
-0.270184,
-0.271965,
-0.273381,
-0.274559,
-0.275574,
-0.276473,
-0.277299,
-0.278112,
-0.279028,
-0.280254,
-0.287657,
-0.343784,
-6.18964,
-17.1777
],
[
16.3291,
6.49252,
0.744561,
0.0974588,
4.39041e-08,
-0.0476632,
-0.0917082,
-0.132109,
-0.168808,
-0.201681,
-0.230411,
-0.254052,
-0.270443,
-0.279312,
-0.284042,
-0.286979,
-0.289052,
-0.290646,
-0.291943,
-0.293041,
-0.293998,
-0.294859,
-0.295673,
-0.296529,
-0.297591,
-0.301688,
-0.34517,
-6.19312,
-17.1756
],
[
16.3294,
6.49276,
0.745081,
0.0981935,
4.34619e-08,
-0.0481009,
-0.0927002,
-0.133788,
-0.17133,
-0.205248,
-0.235348,
-0.261086,
-0.280941,
-0.293127,
-0.299533,
-0.303227,
-0.305688,
-0.307506,
-0.308944,
-0.310138,
-0.311162,
-0.312066,
-0.312896,
-0.313719,
-0.31466,
-0.317968,
-0.350612,
-6.19649,
-17.1722
],
[
16.3299,
6.49316,
0.745979,
0.099441,
4.34753e-08,
-0.048835,
-0.0943568,
-0.136576,
-0.175486,
-0.211056,
-0.243207,
-0.271737,
-0.296106,
-0.314957,
-0.326814,
-0.333226,
-0.336942,
-0.339402,
-0.341204,
-0.342619,
-0.343785,
-0.34478,
-0.345653,
-0.346452,
-0.347243,
-0.349435,
-0.375708,
-6.20363,
-17.1621
],
[
16.3303,
6.4935,
0.746719,
0.10045,
4.3751e-08,
-0.0494213,
-0.0956738,
-0.138778,
-0.178741,
-0.215553,
-0.249172,
-0.279491,
-0.30626,
-0.328904,
-0.346266,
-0.357318,
-0.363366,
-0.366813,
-0.369033,
-0.37062,
-0.371839,
-0.372826,
-0.373656,
-0.374374,
-0.375024,
-0.376413,
-0.399848,
-6.20916,
-17.1451
],
[
16.3311,
6.49419,
0.748268,
0.102503,
6.07359e-08,
-0.0505942,
-0.0983024,
-0.143148,
-0.185142,
-0.224276,
-0.260507,
-0.293713,
-0.323589,
-0.349425,
-0.369933,
-0.384181,
-0.393196,
-0.398921,
-0.40269,
-0.405155,
-0.406675,
-0.407584,
-0.408158,
-0.408554,
-0.40885,
-0.409275,
-0.429839,
-6.2134,
-17.081
],
[
16.3317,
6.49475,
0.749526,
0.104084,
5.44211e-07,
-0.0514885,
-0.100342,
-0.146565,
-0.190146,
-0.231042,
-0.269154,
-0.304237,
-0.335664,
-0.361919,
-0.380809,
-0.392442,
-0.399519,
-0.404204,
-0.407585,
-0.41019,
-0.412293,
-0.414048,
-0.41555,
-0.41686,
-0.418026,
-0.420117,
-0.442278,
-6.22051,
-17.0737
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000525264,
"miller_cap_rise": 0.000619473,
"output_voltage_fall": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.035131,
0.0719001,
0.1404018,
0.2136938,
0.3301032
],
"values": [
4.95,
3.85,
2.75,
1.65,
0.55
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.0840713,
0.122413,
0.1895116,
0.2630561,
0.3795488
],
"values": [
4.95,
3.85,
2.75,
1.65,
0.55
]
}
]
},
"output_voltage_rise": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0557599,
0.1157214,
0.2006256,
0.3070878,
0.5016006
],
"values": [
0.55,
1.65,
2.75,
3.85,
4.95
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.1077793,
0.1631893,
0.2476201,
0.3542655,
0.5492916
],
"values": [
0.55,
1.65,
2.75,
3.85,
4.95
]
}
]
},
"propagated_noise_high": {
"vector,ccsn_pnlh": [
{
"index_1": [
2.475
],
"index_2": [
0.980246
],
"index_3": [
0.001
],
"index_4": [
0.4072014,
0.4894158,
0.571725,
0.713597,
0.827366
],
"values": [
4.99988,
4.6998,
4.49975,
4.6998,
4.99988
]
},
{
"index_1": [
2.75
],
"index_2": [
0.820836
],
"index_3": [
0.001
],
"index_4": [
0.3512172,
0.4173183,
0.4872415,
0.6024881,
0.7113503
],
"values": [
4.90551,
4.54882,
4.31102,
4.54882,
4.90551
]
},
{
"index_1": [
3.025
],
"index_2": [
0.704555
],
"index_3": [
0.001
],
"index_4": [
0.3086103,
0.3623404,
0.4268171,
0.5310357,
0.6225956
],
"values": [
4.81102,
4.39764,
4.12205,
4.39764,
4.81102
]
},
{
"index_1": [
2.475
],
"index_2": [
0.490123
],
"index_3": [
0.001
],
"index_4": [
0.2167168,
0.2555808,
0.3107362,
0.3974005,
0.4862871
],
"values": [
5.14343,
4.92949,
4.78686,
4.92949,
5.14343
]
},
{
"index_1": [
2.75
],
"index_2": [
0.410418
],
"index_3": [
0.001
],
"index_4": [
0.1856052,
0.2188855,
0.2772574,
0.3376359,
0.4225354
],
"values": [
5.09616,
4.85385,
4.69231,
4.85385,
5.09616
]
},
{
"index_1": [
3.025
],
"index_2": [
0.352277
],
"index_3": [
0.001
],
"index_4": [
0.1643747,
0.1925806,
0.2390227,
0.2920017,
0.3705334
],
"values": [
5.04332,
4.76931,
4.58664,
4.76931,
5.04332
]
},
{
"index_1": [
2.75
],
"index_2": [
1.23125
],
"index_3": [
0.001
],
"index_4": [
0.5135091,
0.6121597,
0.7300728,
0.8609209,
1.0015729
],
"values": [
4.79001,
4.36402,
4.08002,
4.36402,
4.79001
]
}
]
},
"propagated_noise_low": {
"vector,ccsn_pnlh": [
{
"index_1": [
2.475
],
"index_2": [
1.94118
],
"index_3": [
0.001
],
"index_4": [
0.8173044,
0.9458256,
1.0565093,
1.2142603,
1.3616173
],
"values": [
0.244323,
0.390917,
0.488646,
0.390917,
0.244323
]
},
{
"index_1": [
2.75
],
"index_2": [
1.4935
],
"index_3": [
0.001
],
"index_4": [
0.636254,
0.7382143,
0.828349,
0.9704461,
1.0928718
],
"values": [
0.305098,
0.488157,
0.610197,
0.488157,
0.305098
]
},
{
"index_1": [
3.025
],
"index_2": [
1.19833
],
"index_3": [
0.001
],
"index_4": [
0.5210117,
0.6012988,
0.6864273,
0.8060392,
0.9119109
],
"values": [
0.373498,
0.597597,
0.746996,
0.597597,
0.373498
]
},
{
"index_1": [
2.475
],
"index_2": [
0.970589
],
"index_3": [
0.001
],
"index_4": [
0.4373035,
0.4951223,
0.5778947,
0.6667215,
0.7566135
],
"values": [
0.204054,
0.326487,
0.408108,
0.326487,
0.204054
]
},
{
"index_1": [
2.75
],
"index_2": [
0.746751
],
"index_3": [
0.001
],
"index_4": [
0.3434226,
0.3873305,
0.4603306,
0.5401866,
0.6190895
],
"values": [
0.242378,
0.387805,
0.484756,
0.387805,
0.242378
]
},
{
"index_1": [
3.025
],
"index_2": [
0.599167
],
"index_3": [
0.001
],
"index_4": [
0.2824001,
0.316674,
0.3771889,
0.452091,
0.5250552
],
"values": [
0.279279,
0.446847,
0.558559,
0.446847,
0.279279
]
},
{
"index_1": [
2.75
],
"index_2": [
2.24025
],
"index_3": [
0.001
],
"index_4": [
0.9304518,
1.0866135,
1.2129397,
1.3889611,
1.5552015
],
"values": [
0.335876,
0.537401,
0.671752,
0.537401,
0.335876
]
}
]
},
"stage_type": "both"
},
{
"dc_current,ccsn_dc": {
"index_1": [
-5.5,
-2.75,
-1.1,
-0.55,
0,
0.275,
0.55,
0.825,
1.1,
1.375,
1.65,
1.925,
2.2,
2.475,
2.75,
3.025,
3.3,
3.575,
3.85,
4.125,
4.4,
4.675,
4.95,
5.225,
5.5,
6.05,
6.6,
8.25,
11
],
"index_2": [
-5.5,
-2.75,
-1.1,
-0.55,
0,
0.275,
0.55,
0.825,
1.1,
1.375,
1.65,
1.925,
2.2,
2.475,
2.75,
3.025,
3.3,
3.575,
3.85,
4.125,
4.4,
4.675,
4.95,
5.225,
5.5,
6.05,
6.6,
8.25,
11
],
"values": [
[
9.1902,
3.65343,
0.43683,
0.256961,
0.251962,
0.248979,
0.245541,
0.24201,
0.238229,
0.233884,
0.228595,
0.221735,
0.212425,
0.200349,
0.186132,
0.170115,
0.152428,
0.133256,
0.113005,
0.0929712,
0.0762314,
0.0590136,
0.0405401,
0.0208556,
-9.70521e-08,
-0.0439765,
-0.100175,
-4.48411,
-12.6094
],
[
9.18925,
3.6524,
0.433985,
0.246597,
0.242028,
0.239307,
0.236153,
0.23283,
0.229304,
0.225253,
0.220308,
0.213856,
0.205058,
0.193631,
0.180144,
0.164879,
0.147935,
0.12948,
0.109912,
0.0905025,
0.0742372,
0.0574868,
0.0395051,
0.0203314,
-9.00295e-08,
-0.0428876,
-0.0980897,
-4.48378,
-12.6091
],
[
9.1882,
3.65127,
0.43091,
0.235098,
0.231002,
0.228565,
0.225736,
0.222657,
0.219412,
0.215687,
0.21112,
0.205119,
0.19689,
0.186193,
0.173536,
0.159131,
0.143038,
0.125397,
0.106595,
0.0878732,
0.0721255,
0.0558798,
0.0384225,
0.0197866,
-7.85416e-08,
-0.0417703,
-0.0959733,
-4.48344,
-12.6088
],
[
9.18784,
3.65074,
0.429445,
0.22946,
0.225594,
0.223294,
0.220624,
0.217673,
0.214569,
0.211004,
0.206624,
0.200844,
0.192897,
0.182563,
0.170319,
0.156344,
0.140677,
0.123442,
0.105017,
0.086629,
0.0711312,
0.0551268,
0.0379178,
0.0195338,
-8.01589e-08,
-0.0412576,
-0.0950108,
-4.48329,
-12.6086
],
[
9.18855,
3.65093,
0.428641,
0.222313,
0.218734,
0.216607,
0.214138,
0.211358,
0.208434,
0.205076,
0.200933,
0.195439,
0.187852,
0.177985,
0.166269,
0.152844,
0.137725,
0.12101,
0.103063,
0.0850959,
0.0699102,
0.0542056,
0.0373025,
0.0192268,
-7.46683e-08,
-0.04064,
-0.0938595,
-4.48311,
-12.6085
],
[
9.18959,
3.6511,
0.428401,
0.217962,
0.214555,
0.212534,
0.210187,
0.207518,
0.204704,
0.201473,
0.197478,
0.192159,
0.184796,
0.175216,
0.163823,
0.150737,
0.135954,
0.119558,
0.101901,
0.0841879,
0.0691894,
0.0536636,
0.0369416,
0.0190472,
-2.72261e-07,
-0.040282,
-0.0931961,
-4.48301,
-12.6084
],
[
9.19057,
3.65162,
0.427905,
0.212902,
0.209696,
0.207797,
0.20559,
0.203051,
0.200367,
0.197284,
0.19346,
0.188346,
0.181244,
0.171998,
0.160982,
0.148287,
0.133894,
0.117867,
0.100546,
0.0831212,
0.0683341,
0.0530085,
0.0364879,
0.0187934,
-5.82871e-05,
-0.0399548,
-0.0925439,
-4.48291,
-12.6083
],
[
9.19144,
3.65233,
0.428681,
0.205668,
0.202102,
0.200106,
0.197838,
0.195243,
0.192527,
0.189464,
0.185715,
0.180754,
0.173911,
0.165007,
0.154377,
0.142101,
0.128138,
0.112505,
0.0954973,
0.0782913,
0.0635943,
0.0483054,
0.0317862,
0.014047,
-0.00490027,
-0.0452376,
-0.0981246,
-4.48365,
-12.6089
],
[
9.19206,
3.65274,
0.428861,
0.19169,
0.183928,
0.181512,
0.179039,
0.176342,
0.173559,
0.17057,
0.167024,
0.162444,
0.156207,
0.147987,
0.138069,
0.12657,
0.113445,
0.0986381,
0.0823002,
0.065524,
0.0509503,
0.0356685,
0.0190894,
0.00120483,
-0.0179113,
-0.0591678,
-0.112545,
-4.48549,
-12.6107
],
[
9.19217,
3.65263,
0.42803,
0.179436,
0.160512,
0.157352,
0.154721,
0.15214,
0.149503,
0.146816,
0.143721,
0.13978,
0.134427,
0.127205,
0.11829,
0.107849,
0.0958645,
0.0822719,
0.0670835,
0.0511351,
0.0369401,
0.0219239,
0.00556502,
-0.0121174,
-0.0310185,
-0.0724091,
-0.125665,
-4.48706,
-12.6121
],
[
9.19185,
3.65212,
0.426441,
0.168286,
0.135891,
0.130023,
0.12671,
0.124079,
0.121618,
0.119276,
0.116747,
0.113636,
0.109452,
0.10361,
0.0960261,
0.0868736,
0.0762159,
0.0640448,
0.0503549,
0.0356244,
0.0220118,
0.00746194,
-0.00844276,
-0.0256149,
-0.0440782,
-0.085074,
-0.137831,
-4.48846,
-12.6134
],
[
9.19126,
3.65136,
0.424372,
0.157176,
0.114753,
0.102415,
0.0964888,
0.0931224,
0.0905421,
0.0882916,
0.0861243,
0.0836616,
0.0805505,
0.0763108,
0.070639,
0.0633436,
0.0543617,
0.0438346,
0.0318932,
0.0188547,
0.00606523,
-0.00778913,
-0.022932,
-0.0393901,
-0.0572173,
-0.0973614,
-0.149288,
-4.48975,
-12.6146
],
[
9.19054,
3.6505,
0.422077,
0.145981,
0.0972433,
0.0789942,
0.0669642,
0.0610363,
0.0576465,
0.0551314,
0.0530054,
0.0508467,
0.0483641,
0.0452166,
0.0411965,
0.0361052,
0.0295567,
0.0212344,
0.0113399,
0.000433345,
-0.0110706,
-0.0238734,
-0.0380205,
-0.0535567,
-0.0705445,
-0.109393,
-0.160176,
-4.49096,
-12.6156
],
[
9.1898,
3.64962,
0.419755,
0.134985,
0.0821288,
0.060228,
0.0424499,
0.0307789,
0.0249054,
0.0215117,
0.0190442,
0.0168753,
0.0146564,
0.0120941,
0.00904444,
0.00541275,
0.000985851,
-0.00458555,
-0.011675,
-0.0200302,
-0.0294122,
-0.0408036,
-0.0536705,
-0.0680295,
-0.083943,
-0.121014,
-0.170368,
-4.49206,
-12.6165
],
[
9.189,
3.64868,
0.417367,
0.123911,
0.0681943,
0.0438589,
0.0225193,
0.00518079,
-0.00611873,
-0.0119148,
-0.0153337,
-0.0178736,
-0.0201171,
-0.0224241,
-0.0249443,
-0.027741,
-0.0309114,
-0.034592,
-0.0389637,
-0.0441962,
-0.0506182,
-0.0596742,
-0.0707848,
-0.0835798,
-0.0980906,
-0.132804,
-0.180322,
-4.4931,
-12.6174
],
[
9.18816,
3.64771,
0.414955,
0.112796,
0.055014,
0.0289247,
0.00521392,
-0.0155701,
-0.0322925,
-0.0431933,
-0.0490612,
-0.0526469,
-0.0552646,
-0.0575861,
-0.0598702,
-0.0622122,
-0.0646796,
-0.0673271,
-0.0701992,
-0.0733369,
-0.0769885,
-0.0821909,
-0.0904638,
-0.101005,
-0.113592,
-0.145184,
-0.190314,
-4.49412,
-12.6182
],
[
9.18731,
3.64673,
0.412573,
0.101803,
0.0424948,
0.0150742,
-0.0103237,
-0.0331775,
-0.0530634,
-0.0691182,
-0.0800289,
-0.0863077,
-0.0899805,
-0.0926696,
-0.0949843,
-0.0971427,
-0.0992433,
-0.101333,
-0.103426,
-0.105544,
-0.107827,
-0.110579,
-0.114829,
-0.121656,
-0.131305,
-0.158527,
-0.200516,
-4.49512,
-12.619
],
[
9.18647,
3.64577,
0.410283,
0.091162,
0.0307074,
0.00223913,
-0.0243141,
-0.0485584,
-0.0703179,
-0.0892754,
-0.1051,
-0.116726,
-0.123089,
-0.126819,
-0.129516,
-0.131743,
-0.133718,
-0.135528,
-0.137214,
-0.138829,
-0.140474,
-0.142264,
-0.144428,
-0.147838,
-0.153031,
-0.173438,
-0.211113,
-4.49611,
-12.6197
],
[
9.18567,
3.64486,
0.408164,
0.0812102,
0.0198864,
-0.00939568,
-0.0367492,
-0.0619753,
-0.0849668,
-0.105569,
-0.124062,
-0.140785,
-0.152399,
-0.15872,
-0.162456,
-0.16509,
-0.167167,
-0.168904,
-0.170414,
-0.171799,
-0.17314,
-0.174496,
-0.175942,
-0.177725,
-0.180361,
-0.191113,
-0.222356,
-4.49712,
-12.6205
],
[
9.18497,
3.64407,
0.406329,
0.0724784,
0.0104863,
-0.0193798,
-0.0473289,
-0.0732669,
-0.0971143,
-0.118769,
-0.138726,
-0.158203,
-0.174823,
-0.186021,
-0.192211,
-0.195871,
-0.198364,
-0.20024,
-0.201768,
-0.203103,
-0.204328,
-0.205492,
-0.206634,
-0.207819,
-0.209355,
-0.213926,
-0.23466,
-4.49813,
-12.6212
],
[
9.18444,
3.64347,
0.404954,
0.0658178,
0.00329575,
-0.0269809,
-0.0553781,
-0.0818411,
-0.106302,
-0.128675,
-0.149551,
-0.170518,
-0.18984,
-0.205632,
-0.216121,
-0.222009,
-0.225479,
-0.227811,
-0.229571,
-0.23101,
-0.232251,
-0.23336,
-0.234381,
-0.235352,
-0.236356,
-0.239075,
-0.248991,
-4.49917,
-12.6219
],
[
9.18426,
3.64325,
0.404435,
0.0631012,
0.00013057,
-0.0304341,
-0.0591659,
-0.0860178,
-0.110926,
-0.133811,
-0.155306,
-0.177179,
-0.197895,
-0.216116,
-0.230403,
-0.23967,
-0.245002,
-0.248272,
-0.25053,
-0.252241,
-0.253623,
-0.254789,
-0.255807,
-0.256727,
-0.257597,
-0.259725,
-0.266212,
-4.50024,
-12.6225
],
[
9.18433,
3.64331,
0.404557,
0.0633717,
3.16312e-07,
-0.0307898,
-0.0597872,
-0.0869467,
-0.112207,
-0.135488,
-0.157448,
-0.179953,
-0.20154,
-0.221068,
-0.23754,
-0.249935,
-0.258068,
-0.263103,
-0.266378,
-0.268697,
-0.270465,
-0.271891,
-0.273092,
-0.274143,
-0.275103,
-0.27729,
-0.282986,
-4.50172,
-12.6232
],
[
9.18441,
3.64338,
0.40469,
0.0637248,
3.25439e-08,
-0.0309862,
-0.0602125,
-0.0876349,
-0.113192,
-0.136803,
-0.159143,
-0.182149,
-0.204389,
-0.224792,
-0.242545,
-0.256981,
-0.267939,
-0.275637,
-0.280713,
-0.284106,
-0.286522,
-0.28836,
-0.28984,
-0.291086,
-0.292185,
-0.294514,
-0.299676,
-4.50339,
-12.6244
],
[
9.18447,
3.64345,
0.404808,
0.0640376,
3.22033e-08,
-0.0311583,
-0.0605842,
-0.0882337,
-0.114044,
-0.137935,
-0.16059,
-0.184004,
-0.206757,
-0.227795,
-0.246356,
-0.261945,
-0.274771,
-0.285114,
-0.292809,
-0.298049,
-0.301583,
-0.304094,
-0.305997,
-0.307525,
-0.308818,
-0.311335,
-0.315566,
-4.50497,
-12.6257
],
[
9.18459,
3.64355,
0.405007,
0.0645633,
3.21187e-08,
-0.0314449,
-0.0612001,
-0.0892204,
-0.115441,
-0.139775,
-0.162923,
-0.18696,
-0.210466,
-0.232375,
-0.251899,
-0.268639,
-0.283159,
-0.296239,
-0.308069,
-0.318271,
-0.326201,
-0.33172,
-0.335437,
-0.338053,
-0.340024,
-0.343099,
-0.346491,
-4.50828,
-12.6285
],
[
9.18468,
3.64364,
0.405168,
0.0649837,
3.22203e-08,
-0.0316716,
-0.0616852,
-0.0899934,
-0.116528,
-0.141196,
-0.164709,
-0.189197,
-0.213228,
-0.235707,
-0.255793,
-0.273107,
-0.288359,
-0.302455,
-0.315785,
-0.328358,
-0.339906,
-0.349866,
-0.357537,
-0.362765,
-0.366196,
-0.370408,
-0.373937,
-4.51128,
-12.6311
],
[
9.18487,
3.64381,
0.405493,
0.065824,
4.2811e-08,
-0.032119,
-0.0626395,
-0.0915067,
-0.118642,
-0.143938,
-0.168122,
-0.193416,
-0.218352,
-0.241747,
-0.262638,
-0.280703,
-0.296854,
-0.312049,
-0.326692,
-0.340849,
-0.354381,
-0.366959,
-0.378066,
-0.387197,
-0.394223,
-0.403367,
-0.40799,
-4.51498,
-12.6344
],
[
9.18502,
3.64395,
0.405741,
0.0664548,
3.4667e-07,
-0.0324514,
-0.063357,
-0.0926519,
-0.120245,
-0.146015,
-0.170696,
-0.196572,
-0.222135,
-0.246123,
-0.267475,
-0.285946,
-0.302581,
-0.318329,
-0.333549,
-0.348242,
-0.362151,
-0.374743,
-0.385326,
-0.393529,
-0.399637,
-0.408,
-0.414462,
-4.51586,
-12.6353
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 8.80739e-05,
"miller_cap_rise": 0.000194429,
"output_voltage_fall": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0647987,
0.1153376,
0.1750157,
0.2476825,
0.3655162
],
"values": [
4.95,
3.85,
2.75,
1.65,
0.55
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.1135425,
0.1645952,
0.223801,
0.2966142,
0.4145127
],
"values": [
4.95,
3.85,
2.75,
1.65,
0.55
]
}
]
},
"output_voltage_rise": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0801841,
0.1475245,
0.2313494,
0.3465763,
0.5486834
],
"values": [
0.55,
1.65,
2.75,
3.85,
4.95
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.129766,
0.1954537,
0.2785457,
0.3943461,
0.5978292
],
"values": [
0.55,
1.65,
2.75,
3.85,
4.95
]
}
]
},
"propagated_noise_high": {
"vector,ccsn_pnlh": [
{
"index_1": [
2.475
],
"index_2": [
1.75174
],
"index_3": [
0.001
],
"index_4": [
0.7344266,
0.8862224,
1.0106388,
1.2299925,
1.4061249
],
"values": [
4.89443,
4.53108,
4.28885,
4.53108,
4.89443
]
},
{
"index_1": [
2.75
],
"index_2": [
1.45422
],
"index_3": [
0.001
],
"index_4": [
0.6356348,
0.7541111,
0.8701527,
1.0510938,
1.204596
],
"values": [
4.75894,
4.31431,
4.01788,
4.31431,
4.75894
]
},
{
"index_1": [
3.17925
],
"index_2": [
1.13453
],
"index_3": [
0.001
],
"index_4": [
0.5148285,
0.599673,
0.7231106,
0.8777818,
1.0066063
],
"values": [
4.57561,
4.02097,
3.65122,
4.02097,
4.57561
]
},
{
"index_1": [
2.475
],
"index_2": [
0.875869
],
"index_3": [
0.001
],
"index_4": [
0.4008529,
0.4706379,
0.5656569,
0.6958248,
0.8026924
],
"values": [
5.03804,
4.76086,
4.57607,
4.76086,
5.03804
]
},
{
"index_1": [
2.75
],
"index_2": [
0.72711
],
"index_3": [
0.001
],
"index_4": [
0.3459736,
0.4038932,
0.4974244,
0.596334,
0.6977869
],
"values": [
4.96459,
4.64335,
4.42919,
4.64335,
4.96459
]
},
{
"index_1": [
3.17925
],
"index_2": [
0.567265
],
"index_3": [
0.001
],
"index_4": [
0.2841123,
0.3297633,
0.4181591,
0.4943021,
0.5827699
],
"values": [
4.85789,
4.47262,
4.21577,
4.47262,
4.85789
]
},
{
"index_1": [
2.75
],
"index_2": [
2.18133
],
"index_3": [
0.001
],
"index_4": [
0.9224242,
1.0956961,
1.2634221,
1.4909904,
1.696563
],
"values": [
4.64922,
4.13875,
3.79843,
4.13875,
4.64922
]
}
]
},
"propagated_noise_low": {
"vector,ccsn_pnlh": [
{
"index_1": [
2.475
],
"index_2": [
3.29282
],
"index_3": [
0.001
],
"index_4": [
1.3691115,
1.5945174,
1.7854645,
1.9923999,
2.2239164
],
"values": [
0.263782,
0.422052,
0.527565,
0.422052,
0.263782
]
},
{
"index_1": [
2.75
],
"index_2": [
2.51318
],
"index_3": [
0.001
],
"index_4": [
1.0651013,
1.2396821,
1.3671637,
1.5731491,
1.7554221
],
"values": [
0.340751,
0.545202,
0.681502,
0.545202,
0.340751
]
},
{
"index_1": [
3.12261
],
"index_2": [
1.85466
],
"index_3": [
0.001
],
"index_4": [
0.8206461,
0.9442851,
1.0549826,
1.2124178,
1.3532248
],
"values": [
0.485288,
0.776461,
0.970576,
0.776461,
0.485288
]
},
{
"index_1": [
2.475
],
"index_2": [
1.64641
],
"index_3": [
0.001
],
"index_4": [
0.7276322,
0.8334932,
0.9169801,
1.0825005,
1.2127572
],
"values": [
0.232822,
0.372515,
0.465643,
0.372515,
0.232822
]
},
{
"index_1": [
2.75
],
"index_2": [
1.25659
],
"index_3": [
0.001
],
"index_4": [
0.570985,
0.6491558,
0.7589099,
0.8677782,
0.9773475
],
"values": [
0.286145,
0.457832,
0.57229,
0.457832,
0.286145
]
},
{
"index_1": [
3.12261
],
"index_2": [
0.92733
],
"index_3": [
0.001
],
"index_4": [
0.4364684,
0.4948752,
0.5756695,
0.6808145,
0.7723992
],
"values": [
0.363505,
0.581608,
0.72701,
0.581608,
0.363505
]
},
{
"index_1": [
2.75
],
"index_2": [
3.76978
],
"index_3": [
0.001
],
"index_4": [
1.5505811,
1.8260961,
2.0104292,
2.2605508,
2.5206524
],
"values": [
0.366614,
0.586583,
0.733228,
0.586583,
0.366614
]
}
]
},
"stage_type": "both"
},
{
"dc_current,ccsn_dc": {
"index_1": [
-5.5,
-2.75,
-1.1,
-0.55,
0,
0.275,
0.55,
0.825,
1.1,
1.375,
1.65,
1.925,
2.2,
2.475,
2.75,
3.025,
3.3,
3.575,
3.85,
4.125,
4.4,
4.675,
4.95,
5.225,
5.5,
6.05,
6.6,
8.25,
11
],
"index_2": [
-5.5,
-2.75,
-1.1,
-0.55,
0,
0.275,
0.55,
0.825,
1.1,
1.375,
1.65,
1.925,
2.2,
2.475,
2.75,
3.025,
3.3,
3.575,
3.85,
4.125,
4.4,
4.675,
4.95,
5.225,
5.5,
6.05,
6.6,
8.25,
11
],
"values": [
[
11.0172,
4.59191,
0.866958,
0.63649,
0.625121,
0.618489,
0.611006,
0.602418,
0.592342,
0.580174,
0.564898,
0.544852,
0.51851,
0.487322,
0.453428,
0.417415,
0.379369,
0.33929,
0.297159,
0.252952,
0.206646,
0.158219,
0.107648,
0.0549149,
-1.4394e-08,
-0.111782,
-0.224074,
-1.70435,
-4.73273
],
[
10.9166,
4.4731,
0.736054,
0.442181,
0.435329,
0.43151,
0.427335,
0.422718,
0.417551,
0.411677,
0.404866,
0.396761,
0.38676,
0.37379,
0.35604,
0.332556,
0.305372,
0.27567,
0.243611,
0.20918,
0.172338,
0.13304,
0.0912453,
0.0469113,
-7.01172e-09,
-0.0962207,
-0.192945,
-1.70107,
-4.72984
],
[
10.8593,
4.40677,
0.662545,
0.330491,
0.325441,
0.322785,
0.319943,
0.316859,
0.313474,
0.309716,
0.305486,
0.300642,
0.294966,
0.288106,
0.27944,
0.267781,
0.251213,
0.229657,
0.204982,
0.177658,
0.147683,
0.114995,
0.0795285,
0.0412178,
-4.98657e-09,
-0.0853864,
-0.171573,
-1.69895,
-4.72796
],
[
10.8426,
4.38613,
0.639008,
0.294176,
0.289581,
0.287242,
0.284768,
0.282104,
0.279199,
0.275996,
0.27242,
0.268365,
0.263678,
0.258112,
0.251256,
0.242341,
0.229867,
0.212145,
0.190373,
0.165746,
0.138369,
0.108182,
0.0751099,
0.0390741,
-4.89231e-09,
-0.0813719,
-0.163744,
-1.6982,
-4.7273
],
[
10.8272,
4.36909,
0.61976,
0.258442,
0.254141,
0.252087,
0.249948,
0.247666,
0.245195,
0.24249,
0.239492,
0.236126,
0.232279,
0.227782,
0.222361,
0.215528,
0.206324,
0.192938,
0.174587,
0.152889,
0.128315,
0.100828,
0.0703407,
0.0367614,
-5.36249e-09,
-0.0770863,
-0.155451,
-1.69742,
-4.7266
],
[
10.8193,
4.36122,
0.612178,
0.245013,
0.236588,
0.234665,
0.232681,
0.230577,
0.228308,
0.225832,
0.2231,
0.220044,
0.216572,
0.212542,
0.207731,
0.201749,
0.19385,
0.182507,
0.16616,
0.146044,
0.122961,
0.0969104,
0.0678,
0.0355294,
-2.6938e-07,
-0.0748243,
-0.151103,
-1.69701,
-4.72625
],
[
10.8113,
4.35356,
0.605165,
0.240877,
0.219149,
0.217346,
0.215507,
0.213571,
0.211493,
0.209233,
0.206748,
0.203982,
0.200855,
0.197252,
0.192988,
0.187755,
0.180973,
0.171438,
0.157261,
0.138836,
0.117309,
0.0927563,
0.065081,
0.0341736,
-7.52055e-05,
-0.072572,
-0.146747,
-1.69692,
-4.72722
],
[
10.8028,
4.34596,
0.598457,
0.239748,
0.201257,
0.198846,
0.196846,
0.194805,
0.192655,
0.190353,
0.187857,
0.185116,
0.182065,
0.178605,
0.174588,
0.169767,
0.163691,
0.155435,
0.14319,
0.126304,
0.106064,
0.0826668,
0.0559874,
0.0258683,
-0.00785538,
-0.080303,
-0.156166,
-1.72596,
-4.83894
],
[
10.7936,
4.33824,
0.591835,
0.238904,
0.181896,
0.171469,
0.167625,
0.164682,
0.161944,
0.15922,
0.156413,
0.153452,
0.150266,
0.146763,
0.142817,
0.138225,
0.132632,
0.125332,
0.114808,
0.0995448,
0.0805205,
0.0581108,
0.0321287,
0.00231936,
-0.0315937,
-0.106383,
-0.18808,
-1.79151,
-5.04617
],
[
10.784,
4.33048,
0.585355,
0.237425,
0.163231,
0.14139,
0.133388,
0.129179,
0.125856,
0.122825,
0.119869,
0.116873,
0.113745,
0.110395,
0.106709,
0.10252,
0.0975471,
0.0912512,
0.0824646,
0.069289,
0.0519068,
0.0309211,
0.00611319,
-0.0228593,
-0.0563737,
-0.132112,
-0.217782,
-1.84781,
-5.20674
],
[
10.7738,
4.32271,
0.579016,
0.234703,
0.145267,
0.112871,
0.0982873,
0.0922075,
0.0882134,
0.0848939,
0.081835,
0.0788513,
0.0758279,
0.0726686,
0.0692691,
0.0654887,
0.061104,
0.0557056,
0.0484279,
0.037475,
0.0219915,
0.00266596,
-0.0206997,
-0.0485295,
-0.0812983,
-0.15719,
-0.245853,
-1.89971,
-5.35101
],
[
10.7631,
4.31493,
0.572801,
0.230601,
0.127877,
0.0864248,
0.0639363,
0.0549552,
0.0500856,
0.0464343,
0.043267,
0.0403008,
0.0373856,
0.0344147,
0.0312886,
0.0278872,
0.0240321,
0.0194114,
0.013393,
0.00454766,
-0.00879295,
-0.0262596,
-0.0479562,
-0.0743796,
-0.1061,
-0.181454,
-0.272271,
-1.94777,
-5.48264
],
[
10.7519,
4.30714,
0.566681,
0.225256,
0.110983,
0.0618159,
0.0313104,
0.0180112,
0.011903,
0.00782199,
0.00451093,
0.00154468,
-0.00127647,
-0.00407569,
-0.00695246,
-0.0100123,
-0.0133987,
-0.0173498,
-0.0223256,
-0.0293593,
-0.0403643,
-0.0557747,
-0.075583,
-0.100344,
-0.130722,
-0.204879,
-0.297063,
-1.99224,
-5.60271
],
[
10.74,
4.29934,
0.560623,
0.218907,
0.0945696,
0.0387994,
0.000896588,
-0.018125,
-0.026057,
-0.0307287,
-0.0342453,
-0.0372448,
-0.0399963,
-0.0426481,
-0.0453045,
-0.0480618,
-0.0510374,
-0.0544136,
-0.0585255,
-0.0640914,
-0.0727516,
-0.0858934,
-0.103592,
-0.126433,
-0.155171,
-0.227491,
-0.320291,
-2.03333,
-5.71215
],
[
10.7281,
4.29188,
0.554903,
0.212257,
0.0790906,
0.0176317,
-0.0268323,
-0.0524765,
-0.0631022,
-0.0686112,
-0.072427,
-0.0755097,
-0.0782277,
-0.0807649,
-0.0832365,
-0.0857348,
-0.0883588,
-0.0912484,
-0.094648,
-0.099051,
-0.105616,
-0.116264,
-0.131617,
-0.152262,
-0.179042,
-0.248964,
-0.341723,
-2.07128,
-5.81183
],
[
10.7161,
4.28463,
0.549334,
0.205344,
0.0644062,
-0.00198285,
-0.0522148,
-0.0846715,
-0.0991217,
-0.10583,
-0.110073,
-0.113302,
-0.116028,
-0.118486,
-0.120808,
-0.123089,
-0.125416,
-0.127897,
-0.130712,
-0.134204,
-0.139121,
-0.147191,
-0.159949,
-0.1781,
-0.202571,
-0.269452,
-0.361472,
-2.1063,
-5.90253
],
[
10.7034,
4.2773,
0.543656,
0.19806,
0.0502731,
-0.0204107,
-0.0757218,
-0.114598,
-0.134065,
-0.142497,
-0.147341,
-0.150796,
-0.153579,
-0.155995,
-0.158204,
-0.160307,
-0.162385,
-0.164527,
-0.166866,
-0.169643,
-0.173347,
-0.179133,
-0.189079,
-0.204454,
-0.226282,
-0.289434,
-0.380019,
-2.13861,
-5.98495
],
[
10.6899,
4.26991,
0.53793,
0.190664,
0.0368328,
-0.0376052,
-0.0974042,
-0.142022,
-0.167421,
-0.17831,
-0.183998,
-0.187781,
-0.190678,
-0.193095,
-0.195229,
-0.197194,
-0.199072,
-0.200937,
-0.202891,
-0.20511,
-0.207924,
-0.212028,
-0.219129,
-0.231435,
-0.250263,
-0.308962,
-0.397421,
-2.16841,
-6.0597
],
[
10.676,
4.2626,
0.532341,
0.183516,
0.024347,
-0.0533791,
-0.117155,
-0.166808,
-0.198511,
-0.212806,
-0.219673,
-0.223917,
-0.227,
-0.229466,
-0.231566,
-0.233435,
-0.23516,
-0.236809,
-0.238462,
-0.240251,
-0.242414,
-0.245398,
-0.250174,
-0.259157,
-0.274596,
-0.32807,
-0.413702,
-2.19585,
-6.12734
],
[
10.6621,
4.25571,
0.52725,
0.177154,
0.0132707,
-0.0673365,
-0.134642,
-0.188704,
-0.226513,
-0.245266,
-0.253778,
-0.258658,
-0.262014,
-0.264588,
-0.266701,
-0.268519,
-0.270139,
-0.271631,
-0.273062,
-0.274536,
-0.276235,
-0.278486,
-0.281858,
-0.287733,
-0.299364,
-0.346774,
-0.428849,
-2.22112,
-6.18834
],
[
10.6494,
4.24975,
0.523255,
0.17241,
0.00434703,
-0.078782,
-0.14922,
-0.20716,
-0.250494,
-0.274614,
-0.285397,
-0.291145,
-0.294883,
-0.297631,
-0.299809,
-0.301625,
-0.303194,
-0.30459,
-0.305877,
-0.307141,
-0.308534,
-0.310322,
-0.312944,
-0.31708,
-0.324662,
-0.365067,
-0.442838,
-2.24438,
-6.24315
],
[
10.6433,
4.24742,
0.522561,
0.172129,
0.000170383,
-0.0852013,
-0.158447,
-0.219833,
-0.267993,
-0.297989,
-0.31185,
-0.318792,
-0.323067,
-0.32609,
-0.328417,
-0.33031,
-0.33191,
-0.333303,
-0.334556,
-0.335747,
-0.337011,
-0.338589,
-0.340879,
-0.344488,
-0.350252,
-0.38292,
-0.455606,
-2.26577,
-6.29215
],
[
10.6454,
4.24906,
0.525018,
0.175812,
3.91527e-07,
-0.0874602,
-0.163307,
-0.227848,
-0.280251,
-0.316191,
-0.334091,
-0.342695,
-0.347737,
-0.351184,
-0.353779,
-0.355857,
-0.357593,
-0.359089,
-0.360418,
-0.361656,
-0.362927,
-0.36445,
-0.366604,
-0.369973,
-0.375383,
-0.400659,
-0.466946,
-2.28543,
-6.33569
],
[
10.6479,
4.2518,
0.527439,
0.179341,
6.00477e-09,
-0.0893504,
-0.167565,
-0.234969,
-0.291097,
-0.332602,
-0.355429,
-0.366239,
-0.372263,
-0.376235,
-0.379154,
-0.381453,
-0.383351,
-0.384971,
-0.386395,
-0.3877,
-0.388997,
-0.390486,
-0.39252,
-0.395657,
-0.400707,
-0.42127,
-0.477409,
-2.30347,
-6.37409
],
[
10.6502,
4.25332,
0.529683,
0.18256,
6.13366e-09,
-0.0910579,
-0.171427,
-0.241436,
-0.300862,
-0.347317,
-0.37571,
-0.389419,
-0.396703,
-0.401321,
-0.404626,
-0.407184,
-0.409268,
-0.411029,
-0.412563,
-0.413947,
-0.415284,
-0.416755,
-0.418683,
-0.421596,
-0.426278,
-0.445466,
-0.49109,
-2.32008,
-6.40763
],
[
10.6541,
4.25484,
0.533706,
0.188187,
9.79103e-09,
-0.0940065,
-0.178135,
-0.252699,
-0.317737,
-0.372202,
-0.411962,
-0.433953,
-0.444997,
-0.451425,
-0.455756,
-0.458972,
-0.461517,
-0.463623,
-0.465422,
-0.467007,
-0.468471,
-0.469958,
-0.47173,
-0.474242,
-0.478206,
-0.494847,
-0.533598,
-2.35051,
-6.46181
],
[
10.6573,
4.25824,
0.537192,
0.1929,
2.15976e-08,
-0.0964402,
-0.183717,
-0.262118,
-0.331786,
-0.392335,
-0.441539,
-0.47438,
-0.491692,
-0.501036,
-0.506895,
-0.511035,
-0.514201,
-0.516757,
-0.518899,
-0.520749,
-0.522404,
-0.523983,
-0.525689,
-0.527895,
-0.531217,
-0.545256,
-0.579437,
-2.37764,
-6.50066
],
[
10.6642,
4.26211,
0.545146,
0.203007,
2.42502e-07,
-0.101535,
-0.195613,
-0.282436,
-0.362186,
-0.434969,
-0.500663,
-0.558425,
-0.605398,
-0.637144,
-0.655222,
-0.665824,
-0.672803,
-0.677864,
-0.681792,
-0.684988,
-0.687682,
-0.690018,
-0.692122,
-0.694159,
-0.69643,
-0.704137,
-0.724051,
-2.44526,
-6.53781
],
[
10.6708,
4.26689,
0.552756,
0.211537,
3.03201e-06,
-0.105646,
-0.205738,
-0.300385,
-0.389703,
-0.473803,
-0.552785,
-0.626721,
-0.695613,
-0.759278,
-0.817029,
-0.866912,
-0.905342,
-0.930911,
-0.947177,
-0.958154,
-0.966151,
-0.97235,
-0.977379,
-0.981601,
-0.985243,
-0.991513,
-0.998646,
-2.56759,
-6.42393
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.00102938,
"miller_cap_rise": 0.000994993,
"output_voltage_fall": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0233876,
0.0340602,
0.0452556,
0.0574971,
0.0749449
],
"values": [
4.95,
3.85,
2.75,
1.65,
0.55
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.0628815,
0.0840402,
0.0985272,
0.1109199,
0.1282957
],
"values": [
4.95,
3.85,
2.75,
1.65,
0.55
]
}
]
},
"output_voltage_rise": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0345047,
0.0526739,
0.0726533,
0.0962659,
0.1367907
],
"values": [
0.55,
1.65,
2.75,
3.85,
4.95
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.0856966,
0.10854,
0.1282666,
0.1519337,
0.1934915
],
"values": [
0.55,
1.65,
2.75,
3.85,
4.95
]
}
]
},
"propagated_noise_high": {
"vector,ccsn_pnlh": [
{
"index_1": [
2.475
],
"index_2": [
0.308341
],
"index_3": [
0.001
],
"index_4": [
0.1428524,
0.1650718,
0.1948938,
0.2359779,
0.2664713
],
"values": [
4.84858,
4.45772,
4.19715,
4.45772,
4.84858
]
},
{
"index_1": [
2.75
],
"index_2": [
0.255976
],
"index_3": [
0.001
],
"index_4": [
0.1228217,
0.1415367,
0.1725851,
0.206189,
0.2344743
],
"values": [
4.72854,
4.26567,
3.95708,
4.26567,
4.72854
]
},
{
"index_1": [
3.025
],
"index_2": [
0.218109
],
"index_3": [
0.001
],
"index_4": [
0.1085203,
0.1245856,
0.1512797,
0.1848581,
0.2111721
],
"values": [
4.60753,
4.07205,
3.71507,
4.07205,
4.60753
]
},
{
"index_1": [
2.475
],
"index_2": [
0.15417
],
"index_3": [
0.001
],
"index_4": [
0.0798943,
0.0907078,
0.1131579,
0.136528,
0.1607317
],
"values": [
5.04232,
4.7677,
4.58463,
4.7677,
5.04232
]
},
{
"index_1": [
2.75
],
"index_2": [
0.127988
],
"index_3": [
0.001
],
"index_4": [
0.0683259,
0.0783291,
0.0954326,
0.1209339,
0.1405318
],
"values": [
4.98929,
4.68287,
4.47858,
4.68287,
4.98929
]
},
{
"index_1": [
3.025
],
"index_2": [
0.109055
],
"index_3": [
0.001
],
"index_4": [
0.0599026,
0.0692515,
0.0855345,
0.1096625,
0.1258383
],
"values": [
4.94204,
4.60727,
4.38408,
4.60727,
4.94204
]
},
{
"index_1": [
2.75
],
"index_2": [
0.383964
],
"index_3": [
0.001
],
"index_4": [
0.178586,
0.2065909,
0.2479197,
0.2920427,
0.3252181
],
"values": [
4.50105,
3.90167,
3.50209,
3.90167,
4.50105
]
}
]
},
"propagated_noise_low": {
"vector,ccsn_pnlh": [
{
"index_1": [
2.475
],
"index_2": [
0.938717
],
"index_3": [
0.001
],
"index_4": [
0.3962423,
0.459495,
0.4879984,
0.5455213,
0.6113009
],
"values": [
0.12782,
0.204512,
0.25564,
0.204512,
0.12782
]
},
{
"index_1": [
2.75
],
"index_2": [
0.723138
],
"index_3": [
0.001
],
"index_4": [
0.311371,
0.3603086,
0.382561,
0.4297616,
0.4794582
],
"values": [
0.171139,
0.273823,
0.342279,
0.273823,
0.171139
]
},
{
"index_1": [
3.14374
],
"index_2": [
0.531429
],
"index_3": [
0.001
],
"index_4": [
0.237521,
0.2691924,
0.29361,
0.3268834,
0.3624849
],
"values": [
0.256356,
0.410169,
0.512712,
0.410169,
0.256356
]
},
{
"index_1": [
2.475
],
"index_2": [
0.469358
],
"index_3": [
0.001
],
"index_4": [
0.2130885,
0.2387815,
0.2573133,
0.2906772,
0.3263913
],
"values": [
0.125034,
0.200054,
0.250067,
0.200054,
0.125034
]
},
{
"index_1": [
2.75
],
"index_2": [
0.361569
],
"index_3": [
0.001
],
"index_4": [
0.1686413,
0.1862433,
0.2092665,
0.2333627,
0.2620231
],
"values": [
0.161121,
0.257794,
0.322243,
0.257794,
0.161121
]
},
{
"index_1": [
3.14374
],
"index_2": [
0.265715
],
"index_3": [
0.001
],
"index_4": [
0.130062,
0.140603,
0.1604711,
0.1811578,
0.2036264
],
"values": [
0.22435,
0.358961,
0.448701,
0.358961,
0.22435
]
},
{
"index_1": [
2.75
],
"index_2": [
1.08471
],
"index_3": [
0.001
],
"index_4": [
0.4512357,
0.5281182,
0.5702416,
0.623559,
0.6965504
],
"values": [
0.17571,
0.281136,
0.351419,
0.281136,
0.17571
]
}
]
},
"stage_type": "both"
}
],
"clock": "false",
"direction": "input",
"fall_capacitance": 0.004376,
"input_voltage": "GENERAL",
"internal_power": {
"fall_power,hidden_pwr_template11": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.1447152,
0.1443705,
0.1439969,
0.1554227,
0.1911902,
0.2623642,
0.4047123,
0.5531536,
0.9668648,
1.1816236,
1.3963823
]
},
"rise_power,hidden_pwr_template11": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
-0.0059617,
-0.0043801,
-0.0026668,
0.0075606,
0.0395769,
0.1091579,
0.2483198,
0.3956386,
0.8062214,
1.0193562,
1.2324909
]
}
},
"max_transition": 3.75,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.005403,
"timing": [
{
"fall_constraint,vio_11_11_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"values": [
[
0.2009746,
0.2031816,
0.2045554,
0.2187123,
0.2696107,
0.3386341,
0.4608119,
0.5720033,
0.8504082,
0.9863132,
1.1173354
],
[
0.1987676,
0.2009746,
0.2023483,
0.2177259,
0.2674037,
0.3364271,
0.4598255,
0.5697963,
0.8494219,
0.9841062,
1.1163491
],
[
0.1961732,
0.1983802,
0.1997539,
0.2151315,
0.2660299,
0.3338327,
0.4572311,
0.5684225,
0.8468274,
0.9815117,
1.1137546
],
[
0.1820163,
0.1842233,
0.185597,
0.2009746,
0.251873,
0.3196758,
0.4430742,
0.5542656,
0.8326706,
0.9685755,
1.0995978
],
[
0.1360006,
0.1394284,
0.1408021,
0.154959,
0.2058574,
0.2736602,
0.3970586,
0.50825,
0.7866549,
0.9225599,
1.0535822
],
[
0.0767428,
0.0801706,
0.0815443,
0.0957012,
0.1453789,
0.2144023,
0.3390215,
0.4502129,
0.7286178,
0.8645228,
0.995545
],
[
-0.0136966,
-0.0102689,
-0.0088952,
0.0052617,
0.0549395,
0.1239629,
0.2473613,
0.3585527,
0.6381784,
0.7728626,
0.9038848
],
[
-0.0846048,
-0.0811771,
-0.0810241,
-0.0668672,
-0.0171895,
0.0506133,
0.172791,
0.2839824,
0.5623873,
0.6982923,
0.8293145
],
[
-0.250705,
-0.2472773,
-0.2459036,
-0.2317467,
-0.182069,
-0.115487,
0.0054701,
0.1142201,
0.3901836,
0.5248679,
0.6558901
],
[
-0.3267956,
-0.3221471,
-0.3207735,
-0.3078373,
-0.2581595,
-0.1915775,
-0.0718412,
0.0369088,
0.3116517,
0.4463359,
0.5773582
],
[
-0.3980034,
-0.3945756,
-0.3932019,
-0.379045,
-0.3281466,
-0.264006,
-0.1442696,
-0.0367403,
0.2380025,
0.3726868,
0.503709
]
]
},
"related_pin": "GATE",
"rise_constraint,vio_11_11_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"values": [
[
0.1277324,
0.1299395,
0.1300925,
0.1369251,
0.1658509,
0.2080189,
0.2667201,
0.3046693,
0.3645684,
0.3808444,
0.3922377
],
[
0.1255254,
0.1289531,
0.1291061,
0.1347181,
0.1648646,
0.2058119,
0.2657337,
0.303683,
0.3623614,
0.3798581,
0.3900307
],
[
0.1241517,
0.1263587,
0.1265117,
0.1333444,
0.1622702,
0.2044381,
0.2631393,
0.3010885,
0.3609876,
0.3784844,
0.388657
],
[
0.1112155,
0.1134225,
0.1135755,
0.1191875,
0.149334,
0.1902813,
0.2502031,
0.289373,
0.3492721,
0.3667689,
0.3781622
],
[
0.0676413,
0.0698483,
0.0700013,
0.0756133,
0.1057598,
0.1479277,
0.2078496,
0.2470195,
0.30936,
0.3280775,
0.3406915
],
[
0.0096042,
0.0118112,
0.0119642,
0.0187969,
0.0513848,
0.0959941,
0.155916,
0.1963066,
0.2610885,
0.279806,
0.29242
],
[
-0.0783939,
-0.0761869,
-0.0760339,
-0.0692012,
-0.0366133,
0.0104375,
0.0740215,
0.1156328,
0.1828561,
0.2015736,
0.2154083
],
[
-0.1480814,
-0.1458743,
-0.1457213,
-0.1388887,
-0.1087422,
-0.0616914,
0.0031133,
0.047166,
0.1168307,
0.1379896,
0.1518243
],
[
-0.3092988,
-0.3058711,
-0.3069388,
-0.3001061,
-0.2699596,
-0.2277916,
-0.1593249,
-0.1128307,
-0.0382832,
-0.0159036,
0.0003725
],
[
-0.3829479,
-0.3795202,
-0.3805879,
-0.3737552,
-0.342388,
-0.3026615,
-0.2341947,
-0.1852591,
-0.1094909,
-0.0871113,
-0.0696145
],
[
-0.452935,
-0.4495073,
-0.450575,
-0.4437423,
-0.4148165,
-0.3738692,
-0.3041817,
-0.2552462,
-0.1770366,
-0.1522156,
-0.1371602
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "setup_falling",
"violation_delay_degrade_pct": 10
},
{
"fall_constraint,vio_11_11_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"values": [
[
-0.1896504,
-0.1918574,
-0.1944518,
-0.2086087,
-0.2595072,
-0.3285306,
-0.4531498,
-0.5655618,
-0.8451875,
-0.9810925,
-1.1133354
],
[
-0.1874434,
-0.1896504,
-0.1922448,
-0.2064017,
-0.2573001,
-0.3275443,
-0.4521634,
-0.5633548,
-0.8442012,
-0.9788855,
-1.1111284
],
[
-0.1848489,
-0.187056,
-0.1896504,
-0.2038073,
-0.2547057,
-0.3249499,
-0.449569,
-0.5619811,
-0.8416067,
-0.976291,
-1.108534
],
[
-0.170692,
-0.1728991,
-0.1754935,
-0.1908711,
-0.2417695,
-0.310793,
-0.4354121,
-0.5478242,
-0.8274498,
-0.9633548,
-1.0955978
],
[
-0.1246764,
-0.1281041,
-0.1294779,
-0.1436348,
-0.1945332,
-0.2647774,
-0.3893965,
-0.5018086,
-0.7814342,
-0.9173392,
-1.0495822
],
[
-0.0641979,
-0.0676256,
-0.0702201,
-0.0831563,
-0.1352754,
-0.2055195,
-0.3301387,
-0.4425508,
-0.7233971,
-0.8605228,
-0.991545
],
[
0.0250209,
0.0215931,
0.0202194,
0.0060625,
-0.0448359,
-0.1138594,
-0.2384785,
-0.3508906,
-0.6317369,
-0.767642,
-0.8998848
],
[
0.0971498,
0.093722,
0.0923483,
0.0781914,
0.027293,
-0.0417305,
-0.1651289,
-0.277541,
-0.5571667,
-0.6918509,
-0.8240939
],
[
0.2644707,
0.261043,
0.2596693,
0.2455124,
0.1946139,
0.1255905,
0.0034128,
-0.1065579,
-0.3837422,
-0.5196472,
-0.65189
],
[
0.3417819,
0.3371335,
0.3357597,
0.3216029,
0.2707044,
0.2029017,
0.080724,
-0.0292467,
-0.306431,
-0.4411152,
-0.5733582
],
[
0.4129897,
0.409562,
0.4081882,
0.3940313,
0.3419122,
0.2753302,
0.1531524,
0.0444024,
-0.2315611,
-0.3674661,
-0.4984883
]
]
},
"related_pin": "GATE",
"rise_constraint,vio_11_11_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"values": [
[
-0.2311543,
-0.2333613,
-0.2359557,
-0.2427884,
-0.2485208,
-0.2455228,
-0.2187747,
-0.1773783,
-0.0419648,
0.0345325,
0.1159127
],
[
-0.2289472,
-0.2311543,
-0.2337487,
-0.2418021,
-0.2475345,
-0.2445365,
-0.2177884,
-0.1763919,
-0.0409785,
0.0367396,
0.116899
],
[
-0.2263528,
-0.2285599,
-0.2311543,
-0.2392077,
-0.2449401,
-0.2419421,
-0.215194,
-0.1737975,
-0.0383841,
0.039334,
0.1194934
],
[
-0.2146374,
-0.2168444,
-0.2206595,
-0.2274922,
-0.2332246,
-0.2302266,
-0.2022578,
-0.162082,
-0.0266686,
0.0510495,
0.1312089
],
[
-0.1844909,
-0.1866979,
-0.190513,
-0.1985664,
-0.2030781,
-0.2013008,
-0.173332,
-0.1319355,
0.0022572,
0.0811959,
0.1601347
],
[
-0.1533092,
-0.156737,
-0.1581107,
-0.1661641,
-0.1718965,
-0.1688984,
-0.1421504,
-0.1007539,
0.0346595,
0.1111569,
0.1913163
],
[
-0.1055944,
-0.1078014,
-0.1103959,
-0.1184492,
-0.1229609,
-0.1199629,
-0.0919941,
-0.0518184,
0.0835951,
0.1613131,
0.2402519
],
[
-0.0639831,
-0.0661901,
-0.0687845,
-0.0768379,
-0.0825703,
-0.0783516,
-0.0491621,
-0.010207,
0.1264271,
0.2029245,
0.2830839
],
[
0.0386406,
0.0364336,
0.0350599,
0.0270065,
0.0212741,
0.0254928,
0.0534616,
0.0936374,
0.2302715,
0.3092103,
0.388149
],
[
0.0878757,
0.0868893,
0.0842949,
0.0774622,
0.0717298,
0.0759486,
0.1039173,
0.1440931,
0.2807272,
0.359666,
0.437384
],
[
0.1383315,
0.1349037,
0.13353,
0.1254766,
0.1197442,
0.123963,
0.1531524,
0.1945489,
0.331183,
0.4076804,
0.4890606
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "hold_falling",
"violation_delay_degrade_pct": 10
}
]
},
"test_cell": [
{
"latch,IQ,IQ_N": {
"data_in": "D",
"enable": "GATE"
},
"pin,D": {
"direction": "input",
"input_voltage": "GENERAL"
},
"pin,GATE": {
"direction": "input",
"input_voltage": "GENERAL"
},
"pin,Q": {
"direction": "output",
"function": "IQ",
"output_voltage": "GENERAL",
"signal_type": "test_scan_out"
},
"pin,SCD": {
"direction": "input",
"input_voltage": "GENERAL",
"signal_type": "test_scan_in"
},
"pin,SCE": {
"direction": "input",
"input_voltage": "GENERAL",
"signal_type": "test_scan_enable"
}
},
{
"latch,IQ,IQ_N": {
"data_in": "D",
"enable": "GATE"
},
"pin,D": {
"direction": "input"
},
"pin,GATE": {
"direction": "input"
},
"pin,Q": {
"direction": "output",
"function": "IQ",
"signal_type": "test_scan_out"
},
"pin,SCD": {
"direction": "input",
"signal_type": "test_scan_in"
},
"pin,SCE": {
"direction": "input",
"signal_type": "test_scan_enable"
}
}
]
}