blob: 92695417ae3b9f88b82c8da1c71bdf49828735ae [file] [log] [blame]
{
"area": 21.4896,
"cell_footprint": "sky130_fd_sc_hvl__schmittbuf",
"cell_leakage_power": 2.356729,
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"leakage_power": [
{
"value": 2.2892881,
"when": "A"
},
{
"value": 2.4241698,
"when": "!A"
}
],
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,A": {
"capacitance": 0.004411,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.004224,
"max_transition": 3.75,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.004598
},
"pin,X": {
"direction": "output",
"function": "(A)",
"internal_power": {
"fall_power,pwr_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0,
0.00882,
0.01058,
0.0127,
0.01524,
0.01829,
0.02195,
0.02634,
0.03161,
0.03793,
0.04551,
0.05461,
0.06553,
0.07864,
0.09437,
0.11324,
0.13589,
0.16307,
0.19569,
0.23483,
0.28179,
0.33815,
0.40578,
0.48694,
0.58433,
0.7012
],
"values": [
[
0.4132507,
0.249559,
0.2203208,
0.1865244,
0.1458395,
0.0971792,
0.0394825,
-0.0302689,
-0.1132991,
-0.2110387,
-0.3288295,
-0.4693666,
-0.6360126,
-0.8374954,
-1.0761649,
-1.3634247,
-1.707575,
-2.1201475,
-2.6146949,
-3.2078775,
-3.919077,
-4.7724457,
-5.7953588,
-7.0240095,
-8.4975035,
-10.2655608
],
[
0.4259127,
0.2597321,
0.2311723,
0.1966037,
0.1557407,
0.1069478,
0.0490341,
-0.0193956,
-0.1028229,
-0.2009662,
-0.318477,
-0.4580136,
-0.6262117,
-0.8259884,
-1.0656256,
-1.3529787,
-1.6970498,
-2.1098437,
-2.6045706,
-3.1975497,
-3.9090239,
-4.7620538,
-5.7855685,
-7.0133374,
-8.4878271,
-10.2546365
],
[
0.4306229,
0.2649439,
0.2363177,
0.2019426,
0.1611749,
0.1124712,
0.0547494,
-0.0150519,
-0.0980944,
-0.1960558,
-0.3136328,
-0.4543686,
-0.6221306,
-0.8222145,
-1.0609947,
-1.3487522,
-1.6925081,
-2.1050839,
-2.5999424,
-3.1929958,
-3.9041662,
-4.7580784,
-5.7813623,
-7.0095511,
-8.4826378,
-10.2510708
],
[
0.4384417,
0.2717619,
0.2429073,
0.2086098,
0.1678058,
0.1194154,
0.0606711,
-0.0090347,
-0.091402,
-0.189748,
-0.3072945,
-0.4466444,
-0.6156415,
-0.8148199,
-1.0549277,
-1.3419611,
-1.686152,
-2.0984407,
-2.5936351,
-3.1867545,
-3.8979964,
-4.7511854,
-5.7747904,
-7.0029653,
-8.4766583,
-10.2443142
],
[
0.496603,
0.3302886,
0.3014128,
0.2669784,
0.2258777,
0.176748,
0.1187029,
0.0491153,
-0.0334043,
-0.1319083,
-0.2499349,
-0.3906341,
-0.5580874,
-0.7587187,
-0.9972189,
-1.2842835,
-1.6278713,
-2.0416387,
-2.5362797,
-3.1292952,
-3.8406695,
-4.6940225,
-5.7176152,
-6.9454431,
-8.4186419,
-10.186975
],
[
0.6031798,
0.4347151,
0.4056971,
0.3709606,
0.3296321,
0.2804113,
0.222719,
0.1523945,
0.0689883,
-0.0296075,
-0.1474013,
-0.288131,
-0.45657,
-0.656464,
-0.8967012,
-1.185064,
-1.5293702,
-1.942483,
-2.4375811,
-3.0310838,
-3.7425623,
-4.5961018,
-5.619572,
-6.8486349,
-8.3214425,
-10.0897748
],
[
0.8148606,
0.6413732,
0.6117186,
0.5759687,
0.533462,
0.4831341,
0.4233291,
0.3520873,
0.2679086,
0.1677572,
0.0485224,
-0.0931185,
-0.2622413,
-0.4643247,
-0.7059872,
-0.9945346,
-1.3403042,
-1.754566,
-2.2503551,
-2.8445529,
-3.5568439,
-4.4109032,
-5.4358408,
-6.6641882,
-8.1387417,
-9.9072137
],
[
1.0095809,
0.828434,
0.799429,
0.7644534,
0.7224125,
0.6717368,
0.6115083,
0.5399758,
0.4552438,
0.3541145,
0.2345546,
0.0919204,
-0.0782808,
-0.2806446,
-0.522828,
-0.8119269,
-1.1583385,
-1.5728716,
-2.0694398,
-2.6643878,
-3.377296,
-4.2320652,
-5.2570896,
-6.4865482,
-7.9611351,
-9.73017
],
[
1.5397449,
1.3461964,
1.3134722,
1.2739664,
1.2284587,
1.175855,
1.1117116,
1.0375882,
0.9509244,
0.8503031,
0.7321201,
0.5891168,
0.4183581,
0.2142703,
-0.0294839,
-0.3198443,
-0.6675467,
-1.0832196,
-1.5811149,
-2.1772183,
-2.8914707,
-3.7474926,
-4.7737599,
-6.0043326,
-7.4800977,
-9.2500174
],
[
1.8020016,
1.6073632,
1.5733988,
1.5335784,
1.4875081,
1.433072,
1.3699329,
1.2956939,
1.2080891,
1.1048975,
0.9824948,
0.8391762,
0.6709385,
0.4670154,
0.2234598,
-0.0676792,
-0.4159347,
-0.8322254,
-1.3304846,
-1.9271315,
-2.6417541,
-3.4983636,
-4.5249732,
-5.7560749,
-7.2324689,
-9.0031245
],
[
2.0599835,
1.8648369,
1.8305939,
1.7905966,
1.7443616,
1.6898636,
1.6254121,
1.5506949,
1.4625402,
1.3592152,
1.2361226,
1.0902354,
0.9173972,
0.7161792,
0.4736615,
0.1821833,
-0.1662986,
-0.5829792,
-1.0817029,
-1.6787727,
-2.3938732,
-3.2508958,
-4.2781054,
-5.50968,
-6.986453,
-8.7578285
]
]
},
"related_pin": "A",
"rise_power,pwr_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0,
0.00882,
0.01058,
0.0127,
0.01524,
0.01829,
0.02195,
0.02634,
0.03161,
0.03793,
0.04551,
0.05461,
0.06553,
0.07864,
0.09437,
0.11324,
0.13589,
0.16307,
0.19569,
0.23483,
0.28179,
0.33815,
0.40578,
0.48694,
0.58433,
0.7012
],
"values": [
[
0.2286674,
0.3375188,
0.3618209,
0.3915632,
0.4278054,
0.4716266,
0.5246354,
0.5888687,
0.6662314,
0.7597171,
0.8717782,
1.006734,
1.1673218,
1.3654598,
1.5981944,
1.8781312,
2.2206224,
2.6232422,
3.1119057,
3.6995642,
4.3978195,
5.2432354,
6.2547581,
7.4761221,
8.9330622,
10.6772802
],
[
0.2455992,
0.3531937,
0.3778509,
0.4078693,
0.4440013,
0.4880192,
0.5409794,
0.6044981,
0.6811601,
0.7747076,
0.8869359,
1.0235938,
1.1835895,
1.379256,
1.6157076,
1.8982146,
2.233545,
2.6403556,
3.1280036,
3.7145362,
4.4155491,
5.2625594,
6.2751905,
7.4890779,
8.9412858,
10.6912821
],
[
0.2527236,
0.3603766,
0.3849266,
0.415156,
0.4508616,
0.4952137,
0.5477198,
0.6115362,
0.6890753,
0.781585,
0.8932255,
1.0289644,
1.1928207,
1.3873657,
1.6208484,
1.9025736,
2.2404148,
2.6509767,
3.1357962,
3.7248092,
4.4273643,
5.2656814,
6.2771711,
7.4926844,
8.9547037,
10.7027363
],
[
0.2738734,
0.3814225,
0.4060023,
0.436232,
0.4720433,
0.515937,
0.5688695,
0.6328921,
0.7094328,
0.8026925,
0.91473,
1.0496725,
1.2119733,
1.4090975,
1.6444231,
1.9249823,
2.26202,
2.6689295,
3.1558636,
3.7429785,
4.4475645,
5.2869507,
6.2976113,
7.5138912,
8.9777138,
10.7216603
],
[
0.3438664,
0.4514989,
0.4763242,
0.5060035,
0.5422275,
0.5855692,
0.6384754,
0.7020162,
0.7792462,
0.8715711,
0.983662,
1.1185923,
1.2827808,
1.4780362,
1.7111196,
1.992764,
2.3319632,
2.7374654,
3.226022,
3.8129148,
4.5146784,
5.3560009,
6.366962,
7.5868802,
9.0394418,
10.787242
],
[
0.4631143,
0.5660247,
0.5903056,
0.6191544,
0.6550602,
0.6978194,
0.7502672,
0.8134531,
0.889681,
0.9816251,
1.0935055,
1.2268236,
1.3913148,
1.5841349,
1.8174212,
2.1004336,
2.4399617,
2.8446739,
3.3312063,
3.9170518,
4.6190696,
5.4603638,
6.4744358,
7.6893106,
9.1448317,
10.8942503
],
[
0.6908842,
0.7842171,
0.8078659,
0.8360954,
0.8714179,
0.9134918,
0.9646892,
1.0262501,
1.1013593,
1.1921691,
1.302258,
1.4354486,
1.5969322,
1.7900254,
2.0228914,
2.3054234,
2.6417713,
3.0461744,
3.5344175,
4.1161973,
4.8213709,
5.6615476,
6.6795394,
7.8864972,
9.3443913,
11.1009944
],
[
0.9034759,
0.994041,
1.0153629,
1.042329,
1.0741594,
1.1142959,
1.1646097,
1.2265423,
1.3016305,
1.39337,
1.5039088,
1.6363323,
1.7953199,
1.9867708,
2.2189922,
2.4972709,
2.8342299,
3.239198,
3.7273793,
4.3126175,
5.0165517,
5.8575901,
6.8676175,
8.0785594,
9.5334066,
11.2810905
],
[
1.4554845,
1.537826,
1.5597081,
1.5871782,
1.6191853,
1.6598412,
1.7087794,
1.7697909,
1.8432321,
1.9309512,
2.0352239,
2.1651702,
2.3217224,
2.5157224,
2.7487284,
3.028745,
3.3631695,
3.7644093,
4.2495132,
4.8327722,
5.5311075,
6.3710462,
7.3817938,
8.5958421,
10.051692,
11.8003379
],
[
1.7318611,
1.8131766,
1.8332173,
1.8603206,
1.8923679,
1.9319949,
1.9803861,
2.040288,
2.1127587,
2.2018825,
2.308947,
2.4393921,
2.5912784,
2.7823326,
3.0121404,
3.2940602,
3.6275198,
4.0309003,
4.517084,
5.0953059,
5.7958364,
6.6337209,
7.648434,
8.8609584,
10.3173607,
12.0565255
],
[
2.0032451,
2.0823216,
2.1037409,
2.1301334,
2.1620033,
2.2014922,
2.2493157,
2.3090556,
2.381455,
2.4693935,
2.5770806,
2.7082725,
2.8647916,
3.0519089,
3.2754879,
3.551856,
3.8904465,
4.2931161,
4.7767748,
5.360099,
6.0605511,
6.8987806,
7.9059964,
9.1186639,
10.5720773,
12.3263333
]
]
}
},
"max_capacitance": 0.574503,
"max_transition": 4.581498,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": {
"cell_fall,delay_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0,
0.00882,
0.01058,
0.0127,
0.01524,
0.01829,
0.02195,
0.02634,
0.03161,
0.03793,
0.04551,
0.05461,
0.06553,
0.07864,
0.09437,
0.11324,
0.13589,
0.16307,
0.19569,
0.23483,
0.28179,
0.33815,
0.40578,
0.48694,
0.58433,
0.7012
],
"values": [
[
0.2121232,
0.2797758,
0.2905753,
0.3030477,
0.3175416,
0.3344653,
0.3539525,
0.3766884,
0.4037307,
0.4358004,
0.473743,
0.5190711,
0.5731686,
0.6383497,
0.716372,
0.810127,
0.9229661,
1.0585685,
1.2213722,
1.4166328,
1.6508612,
1.9331352,
2.2722446,
2.6776354,
3.1644967,
3.7501948
],
[
0.2223739,
0.2894887,
0.2999967,
0.3124174,
0.3269363,
0.3439154,
0.3637411,
0.3864784,
0.4136815,
0.4455907,
0.4835574,
0.5288671,
0.5831058,
0.6480785,
0.7262016,
0.8199739,
0.9328455,
1.0682591,
1.2311258,
1.4261535,
1.6613692,
1.9432829,
2.2816433,
2.6862272,
3.1740055,
3.7606993
],
[
0.2292298,
0.2958337,
0.3065657,
0.31901,
0.3334699,
0.3503769,
0.3695327,
0.3924381,
0.4195565,
0.4516315,
0.4895733,
0.5349204,
0.589169,
0.6541118,
0.7320402,
0.8257672,
0.9386304,
1.0742123,
1.2371472,
1.432385,
1.6664515,
1.9489754,
2.2875799,
2.6928468,
3.1785028,
3.7643741
],
[
0.2429839,
0.3094439,
0.3201066,
0.3325259,
0.3469549,
0.3633677,
0.3828484,
0.4057849,
0.4330081,
0.4650686,
0.5030828,
0.5481869,
0.6024982,
0.6673826,
0.7453825,
0.8392629,
0.9520245,
1.087639,
1.2504957,
1.4456838,
1.6795937,
1.9620272,
2.300611,
2.7070413,
3.193477,
3.7765151
],
[
0.3108976,
0.3777778,
0.3884226,
0.4008074,
0.4150895,
0.4315157,
0.4509565,
0.4739454,
0.5012125,
0.5332172,
0.5712602,
0.6165004,
0.6705372,
0.7354073,
0.8134584,
0.9072574,
1.0201668,
1.1555341,
1.3184026,
1.5139618,
1.7476643,
2.0295374,
2.3688005,
2.7752778,
3.260215,
3.8448036
],
[
0.4196158,
0.4853766,
0.4959559,
0.5082422,
0.5225637,
0.5392251,
0.5585301,
0.5812353,
0.6081611,
0.6399813,
0.6779446,
0.7230537,
0.7770463,
0.8418565,
0.9196728,
1.0131985,
1.1258172,
1.2611867,
1.4238,
1.6184877,
1.853622,
2.1356203,
2.4742917,
2.8783564,
3.3654721,
3.9520573
],
[
0.6120371,
0.6793294,
0.6899743,
0.7021031,
0.7164038,
0.7330262,
0.7522992,
0.7749936,
0.8015651,
0.8331907,
0.8709727,
0.9158195,
0.96944,
1.03381,
1.1112793,
1.2045063,
1.3167353,
1.4516925,
1.6134148,
1.8088767,
2.0437256,
2.3249247,
2.6614602,
3.0684346,
3.5559713,
4.1403263
],
[
0.7829906,
0.8509397,
0.8616449,
0.8741634,
0.8881452,
0.9047653,
0.9240027,
0.9468054,
0.9736373,
1.0056135,
1.0434219,
1.0883862,
1.1421962,
1.206677,
1.2839212,
1.376982,
1.4889241,
1.6237356,
1.7856472,
1.9799526,
2.2143645,
2.4960753,
2.8339215,
3.23752,
3.7247798,
4.3104382
],
[
1.2116965,
1.2810168,
1.2916488,
1.3042591,
1.3186651,
1.3350825,
1.354499,
1.3772266,
1.4036899,
1.4351701,
1.4728043,
1.5174745,
1.5708422,
1.635007,
1.7122258,
1.8051606,
1.9172832,
2.051963,
2.2138085,
2.408002,
2.6416096,
2.9220646,
3.2593674,
3.6646319,
4.1513388,
4.7343254
],
[
1.4211932,
1.4904044,
1.501247,
1.5133576,
1.527686,
1.5443972,
1.5635169,
1.5859192,
1.6124219,
1.6442296,
1.6813265,
1.7258893,
1.7791517,
1.843093,
1.9202884,
2.0127484,
2.1246918,
2.2591078,
2.4209812,
2.6154445,
2.84885,
3.1289931,
3.466299,
3.8713743,
4.3575255,
4.9415521
],
[
1.6236542,
1.6931173,
1.7036191,
1.7161164,
1.7304794,
1.7469678,
1.7661392,
1.7888181,
1.8150875,
1.846328,
1.8837667,
1.9281667,
1.9813131,
2.0451401,
2.1219404,
2.2143594,
2.3259699,
2.4601829,
2.6218463,
2.8157587,
3.0495138,
3.33011,
3.6668639,
4.0715649,
4.5570427,
5.1404778
]
]
},
"cell_rise,delay_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0,
0.00882,
0.01058,
0.0127,
0.01524,
0.01829,
0.02195,
0.02634,
0.03161,
0.03793,
0.04551,
0.05461,
0.06553,
0.07864,
0.09437,
0.11324,
0.13589,
0.16307,
0.19569,
0.23483,
0.28179,
0.33815,
0.40578,
0.48694,
0.58433,
0.7012
],
"values": [
[
0.1600846,
0.2140497,
0.2224865,
0.2326119,
0.2444292,
0.2581401,
0.2747207,
0.2938957,
0.3167671,
0.3441594,
0.3768985,
0.416211,
0.4635246,
0.5204553,
0.5886868,
0.6696693,
0.7689678,
0.8854675,
1.0288148,
1.2007082,
1.4015754,
1.6464413,
1.9436013,
2.2961426,
2.7146794,
3.2239595
],
[
0.1702346,
0.2237374,
0.2324767,
0.2424353,
0.2543182,
0.2682353,
0.2845522,
0.3037411,
0.3267824,
0.3541978,
0.3869814,
0.4262847,
0.4736049,
0.5301184,
0.5976524,
0.6797699,
0.778718,
0.8972426,
1.0380392,
1.2071437,
1.4131211,
1.658663,
1.9514925,
2.3008697,
2.7272122,
3.23699
],
[
0.1756105,
0.229116,
0.2377832,
0.2478815,
0.2595385,
0.2736309,
0.2897855,
0.3092756,
0.3321619,
0.3593961,
0.392029,
0.4313501,
0.478745,
0.5356829,
0.6039059,
0.6857404,
0.7833653,
0.9010942,
1.0440556,
1.2146101,
1.4163184,
1.6613073,
1.9568336,
2.3116937,
2.7324163,
3.2358207
],
[
0.193808,
0.2471432,
0.2558519,
0.2659077,
0.277549,
0.2916682,
0.3077743,
0.3272615,
0.3502245,
0.3776022,
0.4103164,
0.4495221,
0.4964277,
0.5532064,
0.6216191,
0.7038335,
0.8023257,
0.9188549,
1.0610606,
1.2326763,
1.4369063,
1.6787608,
1.9743858,
2.3288338,
2.7523999,
3.2548992
],
[
0.2536661,
0.307324,
0.3158709,
0.3258958,
0.3376635,
0.3514539,
0.3678326,
0.387167,
0.4099463,
0.4372112,
0.4698097,
0.5090985,
0.5564832,
0.6134368,
0.6817371,
0.763556,
0.8608037,
0.9790341,
1.1219448,
1.2926759,
1.4930663,
1.7403774,
2.0365865,
2.3873905,
2.8063352,
3.3202205
],
[
0.341944,
0.3952206,
0.4038108,
0.4136929,
0.4255445,
0.439149,
0.4554856,
0.4745653,
0.4971628,
0.5243851,
0.556984,
0.5961543,
0.6433588,
0.7000492,
0.7678496,
0.8486959,
0.947307,
1.0661202,
1.2082428,
1.3761088,
1.5802167,
1.8273503,
2.1218487,
2.4707343,
2.8945819,
3.4064151
],
[
0.4790923,
0.5346256,
0.5431579,
0.5531127,
0.5650416,
0.5787044,
0.595164,
0.6142145,
0.6371569,
0.6643041,
0.6968104,
0.7356219,
0.7825503,
0.8391637,
0.9062643,
0.9876985,
1.08641,
1.2043619,
1.3460141,
1.5150734,
1.7180564,
1.9647275,
2.2575362,
2.6072102,
3.0343448,
3.5437815
],
[
0.5936384,
0.6507098,
0.6592577,
0.6692631,
0.6809572,
0.6948648,
0.7112126,
0.7301139,
0.7529633,
0.7799343,
0.812127,
0.8508963,
0.8973712,
0.9539315,
1.0218104,
1.1025723,
1.200593,
1.3176544,
1.4594029,
1.6293522,
1.8335449,
2.0775264,
2.3702456,
2.7210196,
3.145471,
3.6563648
],
[
0.8679374,
0.9267883,
0.9358204,
0.9461738,
0.9576751,
0.9714869,
0.9874033,
1.0066831,
1.0289902,
1.0559825,
1.088129,
1.1265802,
1.1729712,
1.22862,
1.2968705,
1.3773392,
1.4750338,
1.593197,
1.7331495,
1.9014221,
2.1045619,
2.3485467,
2.6424036,
2.994063,
3.4179322,
3.9251637
],
[
0.9937802,
1.0536457,
1.0626866,
1.0725913,
1.0844328,
1.0981784,
1.1138021,
1.1330826,
1.1556788,
1.1824093,
1.2141332,
1.2525926,
1.2987692,
1.3545099,
1.4215519,
1.5023386,
1.5996842,
1.7190539,
1.8580421,
2.0274569,
2.2303712,
2.4739299,
2.7660991,
3.1185479,
3.5390284,
4.048391
],
[
1.1147565,
1.1749117,
1.1846494,
1.1951299,
1.2066956,
1.2206015,
1.2360564,
1.2553573,
1.2776586,
1.3046572,
1.3367857,
1.3750017,
1.4211793,
1.476838,
1.5439698,
1.624597,
1.7211395,
1.8399783,
1.979104,
2.1498296,
2.3531004,
2.5954361,
2.8879446,
3.2388974,
3.6615582,
4.1674744
]
]
},
"fall_transition,delay_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0,
0.00882,
0.01058,
0.0127,
0.01524,
0.01829,
0.02195,
0.02634,
0.03161,
0.03793,
0.04551,
0.05461,
0.06553,
0.07864,
0.09437,
0.11324,
0.13589,
0.16307,
0.19569,
0.23483,
0.28179,
0.33815,
0.40578,
0.48694,
0.58433,
0.7012
],
"values": [
[
0.0508751,
0.1089627,
0.119906,
0.1321107,
0.1456741,
0.1633766,
0.1849526,
0.210005,
0.2413262,
0.279037,
0.3249526,
0.3819208,
0.4503067,
0.5337516,
0.6352041,
0.7570392,
0.9031343,
1.0790263,
1.2911463,
1.5454346,
1.8504746,
2.2155087,
2.6631002,
3.1835354,
3.8214099,
4.5812767
],
[
0.0509294,
0.1086371,
0.1194998,
0.1317918,
0.1456622,
0.163553,
0.1845681,
0.210138,
0.2411356,
0.2790766,
0.3253209,
0.3821076,
0.450981,
0.5342171,
0.6346819,
0.756001,
0.9028734,
1.0790271,
1.2889031,
1.5505397,
1.8569233,
2.2214699,
2.6603473,
3.1834748,
3.810777,
4.5813198
],
[
0.0511333,
0.1098449,
0.1199425,
0.1313044,
0.1457644,
0.1633667,
0.1842386,
0.2103332,
0.241225,
0.2791607,
0.3248898,
0.3819753,
0.4498704,
0.533397,
0.6354338,
0.7565814,
0.9035696,
1.0799384,
1.2901493,
1.5437615,
1.8507487,
2.2215398,
2.6601858,
3.1871572,
3.8226018,
4.5792237
],
[
0.0507455,
0.1100599,
0.1195625,
0.1313737,
0.1458489,
0.1634957,
0.1844103,
0.210328,
0.2409729,
0.2791823,
0.3252081,
0.3821621,
0.4506125,
0.5341957,
0.6353829,
0.7572131,
0.903728,
1.0800717,
1.2898047,
1.5435713,
1.8499849,
2.2218523,
2.6588383,
3.1862544,
3.8201891,
4.5806141
],
[
0.0513376,
0.110113,
0.119811,
0.1314217,
0.1459718,
0.1630925,
0.1845074,
0.2100634,
0.2413582,
0.2790422,
0.325081,
0.3809275,
0.4499728,
0.533906,
0.635497,
0.7576264,
0.9033417,
1.0799939,
1.2887891,
1.5426195,
1.8485594,
2.2227444,
2.6631453,
3.1907488,
3.8208601,
4.5814983
],
[
0.0508005,
0.109472,
0.1202078,
0.1319077,
0.1464892,
0.1639256,
0.1854262,
0.2110028,
0.241371,
0.2790695,
0.3258186,
0.3818926,
0.4503753,
0.5343839,
0.6352008,
0.7569103,
0.9033691,
1.0790988,
1.2921808,
1.5465279,
1.8569551,
2.2207056,
2.6625475,
3.1879699,
3.8179042,
4.5757971
],
[
0.0585988,
0.1179151,
0.1271698,
0.1383589,
0.1528785,
0.1698535,
0.1908257,
0.2161818,
0.2459583,
0.2836477,
0.3286541,
0.3845133,
0.4523007,
0.5358403,
0.6356861,
0.7554401,
0.9026397,
1.0796577,
1.2917086,
1.5461362,
1.8487319,
2.2143634,
2.6571065,
3.1833352,
3.8150452,
4.5786659
],
[
0.0624907,
0.1220188,
0.1320533,
0.1438618,
0.1583363,
0.1750863,
0.1951886,
0.2208,
0.2505148,
0.2868603,
0.3325086,
0.388104,
0.4565215,
0.5377046,
0.637408,
0.7589613,
0.9047597,
1.0795611,
1.289754,
1.5449068,
1.8562716,
2.2205644,
2.6595814,
3.1876202,
3.8185495,
4.5728341
],
[
0.0694623,
0.1323889,
0.1428655,
0.152839,
0.1667214,
0.1828008,
0.2029166,
0.2271263,
0.2570442,
0.2934059,
0.3383045,
0.3933467,
0.4597931,
0.541603,
0.6411653,
0.7627661,
0.904898,
1.0808612,
1.2926104,
1.5459041,
1.8518707,
2.2162485,
2.6526843,
3.1823988,
3.8142889,
4.579487
],
[
0.0713044,
0.1327976,
0.1422706,
0.1532945,
0.1685234,
0.1850804,
0.2048629,
0.2289258,
0.2590178,
0.2953265,
0.3397432,
0.3941678,
0.4603836,
0.5431179,
0.6413504,
0.7627666,
0.90705,
1.0828535,
1.2925412,
1.5455364,
1.8547994,
2.2173343,
2.6565794,
3.184059,
3.816643,
4.5767172
],
[
0.0748058,
0.1374081,
0.1478347,
0.1579685,
0.1707845,
0.187652,
0.2068652,
0.2314513,
0.2609771,
0.2964588,
0.3406432,
0.3953349,
0.4613122,
0.5447781,
0.6407108,
0.7616609,
0.9089896,
1.0858714,
1.2938663,
1.5459912,
1.8547623,
2.2171627,
2.6589055,
3.1902674,
3.8215116,
4.5770556
]
]
},
"related_pin": "A",
"rise_transition,delay_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0,
0.00882,
0.01058,
0.0127,
0.01524,
0.01829,
0.02195,
0.02634,
0.03161,
0.03793,
0.04551,
0.05461,
0.06553,
0.07864,
0.09437,
0.11324,
0.13589,
0.16307,
0.19569,
0.23483,
0.28179,
0.33815,
0.40578,
0.48694,
0.58433,
0.7012
],
"values": [
[
0.0372191,
0.0900015,
0.1000322,
0.1121259,
0.1270263,
0.1449408,
0.167222,
0.1936122,
0.2266789,
0.2660572,
0.3138454,
0.371059,
0.4413655,
0.5258989,
0.6286457,
0.7500698,
0.8945855,
1.0731481,
1.2818322,
1.5367665,
1.83849,
2.2054094,
2.6441931,
3.1655634,
3.7939465,
4.5485304
],
[
0.037578,
0.089866,
0.1000281,
0.1120619,
0.1271219,
0.1452586,
0.1670237,
0.1939701,
0.2266563,
0.2658875,
0.3135548,
0.370692,
0.4413736,
0.5261936,
0.6266985,
0.7490971,
0.8958281,
1.0700805,
1.2833318,
1.5376904,
1.840856,
2.2024798,
2.6422573,
3.1675906,
3.7976196,
4.5529003
],
[
0.0375053,
0.0898259,
0.0999323,
0.1122728,
0.1270913,
0.1452939,
0.1672152,
0.1937159,
0.2265938,
0.2656246,
0.3140297,
0.3708333,
0.4414139,
0.5246341,
0.62717,
0.7500024,
0.8968484,
1.0706498,
1.282656,
1.5365595,
1.8376621,
2.2036311,
2.6412765,
3.1683134,
3.7953276,
4.549876
],
[
0.0375963,
0.089816,
0.0999517,
0.1122293,
0.1271616,
0.1452345,
0.1672899,
0.1936827,
0.2262471,
0.266015,
0.3131156,
0.3718549,
0.4406558,
0.5255039,
0.6274273,
0.7477473,
0.8956768,
1.07315,
1.2820702,
1.5352977,
1.8405683,
2.2035076,
2.6394353,
3.166178,
3.7969841,
4.5573789
],
[
0.0373123,
0.0898902,
0.1001108,
0.1122614,
0.1271789,
0.1451977,
0.1672757,
0.1939739,
0.2264762,
0.2656841,
0.3141015,
0.3707939,
0.4414667,
0.5250177,
0.6268751,
0.7498553,
0.8967856,
1.0703447,
1.2829063,
1.5358076,
1.8401333,
2.2057904,
2.6441382,
3.1658465,
3.7932226,
4.5542232
],
[
0.0404869,
0.0920032,
0.1020424,
0.1140615,
0.1287926,
0.1466216,
0.1683399,
0.1949117,
0.2269511,
0.2667138,
0.3142147,
0.3717149,
0.441192,
0.5259126,
0.628198,
0.7487015,
0.8955624,
1.072047,
1.2824524,
1.5358426,
1.8417466,
2.206336,
2.6407472,
3.1648224,
3.7979113,
4.5536188
],
[
0.0460507,
0.0979815,
0.1077774,
0.1195178,
0.134046,
0.1515986,
0.1728913,
0.1990791,
0.2312261,
0.2704363,
0.3173425,
0.3745369,
0.443792,
0.5263602,
0.6289245,
0.7495902,
0.8948667,
1.0698173,
1.2828779,
1.5342019,
1.8416466,
2.2064939,
2.6426423,
3.1678975,
3.7965227,
4.5736881
],
[
0.050053,
0.1024587,
0.1122867,
0.1230317,
0.1368144,
0.154388,
0.1753062,
0.2012784,
0.2327304,
0.2713459,
0.3183892,
0.3759763,
0.4449444,
0.5283315,
0.6279675,
0.750494,
0.896284,
1.0699251,
1.283641,
1.5349556,
1.8379334,
2.2027207,
2.6423228,
3.167747,
3.7978509,
4.5534905
],
[
0.0556751,
0.1097369,
0.1186722,
0.1299785,
0.1439322,
0.1603153,
0.180651,
0.2054378,
0.2366462,
0.2748327,
0.3219481,
0.377528,
0.4453792,
0.5287967,
0.6292948,
0.7509056,
0.8981704,
1.0734036,
1.2865444,
1.5366072,
1.8404553,
2.2032989,
2.637325,
3.1670269,
3.79322,
4.5531867
],
[
0.0579232,
0.1098087,
0.1214227,
0.1323224,
0.1450993,
0.1624932,
0.1823716,
0.2071629,
0.2379076,
0.2758688,
0.3220341,
0.378433,
0.4477845,
0.531386,
0.6300145,
0.7515342,
0.897598,
1.0733758,
1.2837217,
1.5373063,
1.846342,
2.2047293,
2.6411267,
3.163352,
3.7930947,
4.5445514
],
[
0.0604018,
0.1120101,
0.1218328,
0.1345974,
0.1473997,
0.1635734,
0.1832348,
0.2082189,
0.2393543,
0.2769171,
0.3228602,
0.3788524,
0.4475059,
0.5296037,
0.6314484,
0.7530728,
0.8970114,
1.0739519,
1.2833853,
1.5414524,
1.8404515,
2.2040425,
2.6391893,
3.1665224,
3.7922354,
4.5579327
]
]
},
"timing_sense": "positive_unate"
}
}
}