blob: cefdef169e9047b3d98ddd9c2e4f069cefb811cc [file] [log] [blame]
{
"area": 203.1744,
"cell_footprint": "sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg",
"cell_leakage_power": 429.8672,
"comp_attribute,input_voltage_range": [
1.28,
1.95
],
"comp_attribute,output_voltage_range": [
1.65,
5.5
],
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"is_level_shifter": "true",
"leakage_power": [
{
"value": 859.8013892,
"when": "!A&SLEEP_B"
},
{
"value": 0.0115677,
"when": "!A&!SLEEP_B"
},
{
"value": 859.638476,
"when": "A&SLEEP_B"
},
{
"value": 0.017557,
"when": "A&!SLEEP_B"
}
],
"level_shifter_type": "LH",
"pg_pin,LVPWR": {
"pg_type": "primary_power",
"voltage_name": "LVPWR"
},
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,A": {
"capacitance": 0.004894,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.004737,
"input_signal_level": "LVPWR",
"internal_power": {
"fall_power,power_inputs_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.1135362,
0.1130068,
0.1124332,
0.112213,
0.1115237,
0.1121733,
0.1134729,
0.115774,
0.1221873,
0.1255164,
0.1288456
]
},
"rise_power,power_inputs_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.0978867,
0.0974465,
0.0969697,
0.096829,
0.0963892,
0.0969724,
0.0981387,
0.1005559,
0.1072929,
0.1107901,
0.1142873
]
}
},
"level_shifter_data_pin": "true",
"max_transition": 3.75,
"related_ground_pin": "VGND",
"related_power_pin": "LVPWR",
"rise_capacitance": 0.00505
},
"pin,SLEEP_B": {
"capacitance": 0.00279,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.002719,
"internal_power": {
"fall_power,power_inputs_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.0476802,
0.0449202,
0.0420609,
0.0435193,
0.0514272,
0.0560867,
0.0669747,
0.0826737,
0.1292318,
0.1526457,
0.1760594
]
},
"rise_power,power_inputs_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.2724074,
0.2714495,
0.2704119,
0.2721351,
0.2775299,
0.283067,
0.2941414,
0.3116305,
0.3603735,
0.3856761,
0.4109787
]
}
},
"level_shifter_enable_pin": "true",
"max_transition": 3.75,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.00286
},
"pin,X": {
"direction": "output",
"function": "(A&SLEEP_B)",
"internal_power": [
{
"fall_power,power_outputs_4": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00192762,
0.00743147,
0.0286502,
0.110454,
0.425826,
1.64166
],
"values": [
[
0.4304776,
0.4215824,
0.3904656,
0.2811809,
-0.1545709,
-1.8642916,
-8.4816009
],
[
0.4301802,
0.4215508,
0.3901057,
0.2808696,
-0.1545292,
-1.8644851,
-8.481597
],
[
0.4303742,
0.421745,
0.3902899,
0.2810549,
-0.1542548,
-1.8641571,
-8.4816717
],
[
0.4297586,
0.4213454,
0.3901514,
0.280821,
-0.1549868,
-1.8650265,
-8.4818557
],
[
0.429641,
0.4211651,
0.3902179,
0.2808848,
-0.1549518,
-1.8646761,
-8.4823301
],
[
0.4300105,
0.4211922,
0.389905,
0.2804641,
-0.1551688,
-1.8648859,
-8.4824386
],
[
0.4303943,
0.4213845,
0.3904491,
0.2812267,
-0.1545392,
-1.8642022,
-8.4815354
],
[
0.4345831,
0.4252357,
0.3918617,
0.2812973,
-0.1542574,
-1.8641166,
-8.4814425
],
[
0.4404399,
0.4312869,
0.3987233,
0.2827013,
-0.1555498,
-1.8650879,
-8.4823779
],
[
0.4411313,
0.4319326,
0.3991683,
0.2833444,
-0.1551565,
-1.8645624,
-8.4819313
],
[
0.4425788,
0.4334123,
0.4004217,
0.2838898,
-0.1536599,
-1.8632163,
-8.4804788
]
]
},
"related_pin": "A",
"rise_power,power_outputs_4": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00192762,
0.00743147,
0.0286502,
0.110454,
0.425826,
1.64166
],
"values": [
[
0.5085852,
0.5150332,
0.5429056,
0.6624389,
1.108629,
2.8146343,
9.3769693
],
[
0.5086243,
0.515265,
0.543463,
0.662849,
1.1086502,
2.8153058,
9.3661603
],
[
0.5084634,
0.5154402,
0.543374,
0.6632303,
1.1088525,
2.8124573,
9.3752535
],
[
0.507871,
0.5148411,
0.5428264,
0.6626099,
1.1082806,
2.8131203,
9.3748611
],
[
0.508325,
0.5148736,
0.5431994,
0.662846,
1.1093717,
2.8093516,
9.3674042
],
[
0.5085446,
0.5151153,
0.5430294,
0.6625459,
1.1085067,
2.8141333,
9.3661065
],
[
0.510096,
0.5166092,
0.5450746,
0.6643067,
1.1091423,
2.813435,
9.3743967
],
[
0.5188696,
0.5257515,
0.5527206,
0.6651413,
1.1122709,
2.8175043,
9.3674817
],
[
0.5257441,
0.5321042,
0.5594765,
0.6746554,
1.1202662,
2.8191544,
9.3770851
],
[
0.5278763,
0.5339506,
0.5611823,
0.6760077,
1.122418,
2.8219523,
9.3805744
],
[
0.5315498,
0.537883,
0.565493,
0.6794894,
1.1266043,
2.8219758,
9.3765782
]
]
}
},
{
"fall_power,power_outputs_4": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00192762,
0.00743147,
0.0286502,
0.110454,
0.425826,
1.64166
],
"values": [
[
0.3430102,
0.3334017,
0.3004558,
0.1901138,
-0.2470642,
-1.9583525,
-8.5823303
],
[
0.3457617,
0.3364521,
0.3033771,
0.1917843,
-0.2447852,
-1.9554147,
-8.5772752
],
[
0.3482139,
0.3390343,
0.3056212,
0.1948248,
-0.2415894,
-1.9526755,
-8.5779376
],
[
0.3460509,
0.3366789,
0.3036758,
0.1919724,
-0.244217,
-1.9551192,
-8.5770563
],
[
0.338871,
0.3291807,
0.2963233,
0.1856016,
-0.2510059,
-1.9622492,
-8.5865105
],
[
0.338607,
0.3295468,
0.2961346,
0.1853794,
-0.2510613,
-1.9621724,
-8.5873735
],
[
0.3425658,
0.3329919,
0.2998924,
0.1893014,
-0.2474899,
-1.9587407,
-8.5783235
],
[
0.3456667,
0.3359729,
0.3031123,
0.1924177,
-0.2447887,
-1.9554523,
-8.5789326
],
[
0.3527992,
0.3429301,
0.310136,
0.1990005,
-0.2368945,
-1.9480179,
-8.5702498
],
[
0.3737354,
0.3638459,
0.3291118,
0.2105088,
-0.2308298,
-1.9416361,
-8.5638557
],
[
0.380441,
0.3706042,
0.3360642,
0.2173841,
-0.225973,
-1.9360196,
-8.5579704
]
]
},
"related_pin": "SLEEP_B",
"rise_power,power_outputs_4": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00192762,
0.00743147,
0.0286502,
0.110454,
0.425826,
1.64166
],
"values": [
[
0.3171357,
0.3241843,
0.351929,
0.4719892,
0.9183947,
2.6229585,
9.1906304
],
[
0.3175016,
0.3251858,
0.3535094,
0.4730482,
0.9198417,
2.6222689,
9.1879454
],
[
0.318693,
0.3255416,
0.3533666,
0.4733779,
0.920153,
2.6224677,
9.1856202
],
[
0.3171144,
0.3236135,
0.3518424,
0.4715119,
0.9175127,
2.6220753,
9.1830583
],
[
0.3126203,
0.3194451,
0.3475049,
0.4671905,
0.913093,
2.6181259,
9.178072
],
[
0.3123182,
0.3192597,
0.3471888,
0.4669812,
0.9128612,
2.6238316,
9.1756201
],
[
0.3171,
0.3236305,
0.3527821,
0.4728392,
0.9203003,
2.6239145,
9.1849322
],
[
0.3322914,
0.3384412,
0.3649449,
0.4791836,
0.9240407,
2.6296104,
9.1866387
],
[
0.3475203,
0.3537211,
0.3808476,
0.4937063,
0.9421816,
2.6425993,
9.2002893
],
[
0.3561012,
0.3622932,
0.3887915,
0.5008234,
0.9473234,
2.6475878,
9.2129165
],
[
0.3641693,
0.370241,
0.3967035,
0.5094126,
0.9577909,
2.651185,
9.2144665
]
]
}
}
],
"max_capacitance": 1.641093,
"max_transition": 3.752146,
"power_down_function": "(!LVPWR+!VPWR+VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"cell_fall,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00192762,
0.00743147,
0.0286502,
0.110454,
0.425826,
1.64166
],
"values": [
[
0.4838911,
0.4885093,
0.5043551,
0.552958,
0.7030272,
1.2462058,
3.3255823
],
[
0.487511,
0.4921323,
0.5079367,
0.5566809,
0.706767,
1.2498398,
3.3271004
],
[
0.493002,
0.4976236,
0.5134256,
0.5621695,
0.7122581,
1.2553402,
3.3346534
],
[
0.5130252,
0.5176894,
0.5334221,
0.5820247,
0.7322651,
1.2750034,
3.355084
],
[
0.5749643,
0.5796452,
0.5953705,
0.6439769,
0.7942119,
1.3368742,
3.416923
],
[
0.6440332,
0.6486498,
0.6643912,
0.7130096,
0.8632367,
1.40629,
3.4843366
],
[
0.7434617,
0.7480803,
0.7638141,
0.8124786,
0.9626221,
1.5046524,
3.5860024
],
[
0.8207458,
0.8252751,
0.8410996,
0.8896646,
1.0399276,
1.5821436,
3.6643608
],
[
0.9896999,
0.9943391,
1.0100472,
1.0586911,
1.2087827,
1.7512298,
3.8284815
],
[
1.0653809,
1.0700051,
1.0856684,
1.1344548,
1.2845958,
1.8269721,
3.9066297
],
[
1.1359592,
1.1405459,
1.156327,
1.2049266,
1.355142,
1.8982058,
3.9752548
]
]
},
"cell_rise,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00192762,
0.00743147,
0.0286502,
0.110454,
0.425826,
1.64166
],
"values": [
[
0.5902253,
0.5939983,
0.6063919,
0.6433953,
0.7511804,
1.1377718,
2.6249176
],
[
0.5942336,
0.5980174,
0.6105783,
0.6477722,
0.7551863,
1.1423518,
2.6328095
],
[
0.5986884,
0.6024612,
0.6149889,
0.6523004,
0.7597337,
1.1462709,
2.6345803
],
[
0.6158092,
0.6195863,
0.6320067,
0.6693276,
0.7767813,
1.1635407,
2.6516382
],
[
0.6526957,
0.6564638,
0.6689658,
0.7061357,
0.8138997,
1.201339,
2.6871334
],
[
0.6843639,
0.6881175,
0.7006909,
0.7379191,
0.8455668,
1.2322133,
2.7234808
],
[
0.7195015,
0.7232195,
0.7356616,
0.7727889,
0.8803138,
1.2675873,
2.757353
],
[
0.7384091,
0.7422555,
0.7546797,
0.7918003,
0.8997508,
1.2867891,
2.7719316
],
[
0.7548098,
0.758582,
0.7712015,
0.808389,
0.9157959,
1.3029586,
2.7883542
],
[
0.7521371,
0.7557708,
0.7683378,
0.8056889,
0.9133447,
1.3002416,
2.7849807
],
[
0.7450428,
0.7488168,
0.7616338,
0.798593,
0.906397,
1.2930358,
2.7809663
]
]
},
"fall_transition,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00192762,
0.00743147,
0.0286502,
0.110454,
0.425826,
1.64166
],
"values": [
[
0.0387973,
0.0426608,
0.0566127,
0.1026518,
0.2784351,
0.9890291,
3.7511305
],
[
0.0388449,
0.0426614,
0.0565756,
0.1026358,
0.2782016,
0.9876426,
3.7443759
],
[
0.038848,
0.0426594,
0.0565729,
0.1026279,
0.2781985,
0.9875279,
3.7402996
],
[
0.0387697,
0.0428205,
0.0564485,
0.1029603,
0.2781935,
0.9894797,
3.7394265
],
[
0.0388019,
0.0428084,
0.056432,
0.1029641,
0.2781648,
0.9880073,
3.7404142
],
[
0.0389576,
0.0428361,
0.0564556,
0.102901,
0.2782186,
0.9926668,
3.7485024
],
[
0.0387894,
0.0424144,
0.0566337,
0.1029958,
0.2780116,
0.9899294,
3.7521461
],
[
0.0389156,
0.0427959,
0.0566183,
0.1029185,
0.2771606,
0.9892567,
3.746405
],
[
0.0388367,
0.0423291,
0.0564434,
0.1030524,
0.2782639,
0.9897121,
3.7438395
],
[
0.0385228,
0.0423549,
0.0562565,
0.1022905,
0.27826,
0.9889667,
3.7466883
],
[
0.0388811,
0.0428334,
0.0566181,
0.102917,
0.278217,
0.9884077,
3.744256
]
]
},
"related_pin": "A",
"rise_transition,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00192762,
0.00743147,
0.0286502,
0.110454,
0.425826,
1.64166
],
"values": [
[
0.0343573,
0.0371817,
0.048317,
0.0837185,
0.2201807,
0.779928,
2.9496667
],
[
0.0345208,
0.0374584,
0.0483011,
0.0837644,
0.2196927,
0.7791547,
2.9491529
],
[
0.0342774,
0.0372298,
0.0481438,
0.0839775,
0.2201875,
0.7799675,
2.95143
],
[
0.0343844,
0.0372231,
0.0481562,
0.0839632,
0.2201705,
0.7797648,
2.9514942
],
[
0.0342093,
0.037136,
0.0486034,
0.0836132,
0.2194187,
0.779558,
2.9536442
],
[
0.0345745,
0.0374972,
0.0481281,
0.0837334,
0.2199182,
0.7804029,
2.9498473
],
[
0.0344655,
0.0372068,
0.0479249,
0.0835708,
0.2200452,
0.779583,
2.9572833
],
[
0.0345908,
0.0371186,
0.0481888,
0.0836212,
0.219747,
0.7793434,
2.9491904
],
[
0.0345083,
0.0374643,
0.048173,
0.0837334,
0.2201308,
0.7793786,
2.9487071
],
[
0.0344889,
0.0376124,
0.0483098,
0.0837888,
0.2201857,
0.778723,
2.9512985
],
[
0.034354,
0.0372215,
0.0480931,
0.0837187,
0.2196148,
0.7797904,
2.9501066
]
]
},
"timing_sense": "positive_unate",
"timing_type": "combinational"
},
{
"cell_fall,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00192762,
0.00743147,
0.0286502,
0.110454,
0.425826,
1.64166
],
"values": [
[
1.00223,
1.006895,
1.0228934,
1.0725916,
1.2231243,
1.76542,
3.8450345
],
[
1.0051597,
1.0098716,
1.0259726,
1.0755659,
1.2262911,
1.7687202,
3.8461985
],
[
1.0089757,
1.0137174,
1.0298137,
1.0794713,
1.2301808,
1.7723208,
3.8506052
],
[
1.0207478,
1.0254771,
1.0415822,
1.0908887,
1.2418909,
1.7843286,
3.8619641
],
[
1.0666834,
1.0714752,
1.087392,
1.1369968,
1.2876973,
1.8298619,
3.9079913
],
[
1.1411848,
1.1458895,
1.1619874,
1.2116648,
1.3623648,
1.9045028,
3.982787
],
[
1.2937799,
1.2985167,
1.3146954,
1.3643234,
1.5149259,
2.0571103,
4.1360004
],
[
1.4336623,
1.4382375,
1.454257,
1.5038507,
1.6543656,
2.1971498,
4.2766488
],
[
1.7465391,
1.751283,
1.767409,
1.816743,
1.9677509,
2.510296,
4.5886241
],
[
1.8908828,
1.8956068,
1.9116411,
1.9613691,
2.1117756,
2.6540607,
4.7347459
],
[
2.0281525,
2.0328543,
2.0488482,
2.0985738,
2.2488507,
2.7914557,
4.8706652
]
]
},
"cell_rise,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00192762,
0.00743147,
0.0286502,
0.110454,
0.425826,
1.64166
],
"values": [
[
0.4823204,
0.4862137,
0.4986492,
0.5359544,
0.6436026,
1.0303521,
2.5198095
],
[
0.4852149,
0.4889366,
0.5015017,
0.5386874,
0.6464213,
1.033738,
2.522585
],
[
0.4885803,
0.4923636,
0.5048031,
0.5420762,
0.6498359,
1.0370352,
2.5243077
],
[
0.5008362,
0.5046228,
0.5170645,
0.5543754,
0.6621229,
1.0491213,
2.5351008
],
[
0.5408018,
0.544594,
0.5570158,
0.5943769,
0.7020725,
1.0889316,
2.5764813
],
[
0.5868788,
0.5906731,
0.6031004,
0.640462,
0.7482998,
1.1352945,
2.6261187
],
[
0.6348511,
0.6386382,
0.651282,
0.688511,
0.7964339,
1.1836891,
2.6733025
],
[
0.6575315,
0.6611984,
0.6738448,
0.711157,
0.8190043,
1.2060605,
2.6948526
],
[
0.6658924,
0.6697072,
0.6824469,
0.7198007,
0.8276697,
1.214197,
2.700965
],
[
0.6528273,
0.6567541,
0.6695265,
0.706762,
0.8148181,
1.2017836,
2.6866782
],
[
0.6321845,
0.6360492,
0.6488732,
0.686441,
0.7943481,
1.1811283,
2.6663058
]
]
},
"fall_transition,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00192762,
0.00743147,
0.0286502,
0.110454,
0.425826,
1.64166
],
"values": [
[
0.0428751,
0.0470515,
0.0610628,
0.1071525,
0.2801829,
0.9886105,
3.7497081
],
[
0.0433364,
0.0470631,
0.0609581,
0.1078576,
0.2799303,
0.9887026,
3.7434305
],
[
0.0432931,
0.0471172,
0.0608219,
0.1074089,
0.2803297,
0.9881277,
3.7451571
],
[
0.0433387,
0.0471592,
0.0608129,
0.1072675,
0.2800079,
0.9887217,
3.7440406
],
[
0.0429552,
0.0466334,
0.0609794,
0.1072415,
0.2801621,
0.9883105,
3.7437951
],
[
0.0433011,
0.0471199,
0.0608214,
0.1074047,
0.2803244,
0.9881679,
3.7452272
],
[
0.0430289,
0.0468862,
0.0610229,
0.1072509,
0.2801481,
0.9880823,
3.7467331
],
[
0.0429632,
0.0468161,
0.0612198,
0.1070834,
0.2805947,
0.9886763,
3.7452716
],
[
0.0435305,
0.0472616,
0.0610278,
0.1074445,
0.2798286,
0.9890941,
3.7505337
],
[
0.0431802,
0.0474845,
0.0610051,
0.107729,
0.2807215,
0.9895235,
3.7449652
],
[
0.043127,
0.0472905,
0.0613188,
0.1074513,
0.2804567,
0.9874555,
3.7510541
]
]
},
"related_pin": "SLEEP_B",
"rise_transition,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00192762,
0.00743147,
0.0286502,
0.110454,
0.425826,
1.64166
],
"values": [
[
0.0347335,
0.0375177,
0.0482849,
0.0839286,
0.2199868,
0.7798349,
2.9508052
],
[
0.0347143,
0.0377774,
0.0482034,
0.0839511,
0.2199915,
0.7797958,
2.9504994
],
[
0.0344027,
0.0373338,
0.0482191,
0.0838042,
0.2197673,
0.7798421,
2.9527052
],
[
0.0343302,
0.0372594,
0.048309,
0.083885,
0.2200218,
0.7788981,
2.9488727
],
[
0.0346726,
0.0375741,
0.0483802,
0.0839508,
0.2200361,
0.7790312,
2.9519571
],
[
0.034739,
0.0376415,
0.0484339,
0.0839638,
0.2201287,
0.7791295,
2.9544931
],
[
0.0347842,
0.0376442,
0.04842,
0.084246,
0.2202134,
0.7800517,
2.9520475
],
[
0.0349891,
0.0380215,
0.0487676,
0.0841123,
0.2199722,
0.7789152,
2.948394
],
[
0.0354145,
0.0383284,
0.0491534,
0.0849701,
0.220339,
0.7785185,
2.953479
],
[
0.0357471,
0.0387622,
0.0494812,
0.0851008,
0.2204198,
0.7790814,
2.9493874
],
[
0.0359839,
0.0389454,
0.0495641,
0.0856252,
0.2208607,
0.7790038,
2.9520526
]
]
},
"timing_sense": "positive_unate",
"timing_type": "combinational"
}
]
}
}