blob: 6a547ec21aba8c7451c914ea6d2db56556390ea0 [file] [log] [blame]
{
"area": 203.1744,
"cell_footprint": "sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg",
"cell_leakage_power": 2206.935,
"comp_attribute,input_voltage_range": [
1.28,
1.95
],
"comp_attribute,output_voltage_range": [
1.65,
5.5
],
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"is_level_shifter": "true",
"leakage_power": [
{
"value": 4395.8857532,
"when": "A&SLEEP_B"
},
{
"value": 4394.5356083,
"when": "!A&SLEEP_B"
},
{
"value": 15.0253712,
"when": "!A&!SLEEP_B"
},
{
"value": 22.2945555,
"when": "A&!SLEEP_B"
}
],
"level_shifter_type": "LH",
"pg_pin,LVPWR": {
"pg_type": "primary_power",
"voltage_name": "LVPWR"
},
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,A": {
"capacitance": 0.004897,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.004779,
"input_signal_level": "LVPWR",
"internal_power": {
"fall_power,power_inputs_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.0931606,
0.0926807,
0.0921642,
0.0923748,
0.0931206,
0.0968482,
0.1043441,
0.1135217,
0.139173,
0.1524691,
0.1657651
]
},
"rise_power,power_inputs_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.0809511,
0.0805155,
0.0800457,
0.0801954,
0.0807226,
0.0843239,
0.0915538,
0.1008943,
0.1269759,
0.1405018,
0.1540276
]
}
},
"level_shifter_data_pin": "true",
"max_transition": 3.75,
"related_ground_pin": "VGND",
"related_power_pin": "LVPWR",
"rise_capacitance": 0.005014
},
"pin,SLEEP_B": {
"capacitance": 0.002414,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.002398,
"internal_power": {
"fall_power,power_inputs_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.005149,
-0.0029508,
-0.0110573,
-0.0182605,
-0.0237234,
-0.0266843,
-0.024586,
-0.0291681,
-0.0276063,
-0.0306524,
-0.0336985
]
},
"rise_power,power_inputs_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.0156621,
0.0149989,
0.0142826,
0.0184017,
0.0313546,
0.0440717,
0.0695333,
0.074577,
0.0886832,
0.0959926,
0.1033019
]
}
},
"level_shifter_enable_pin": "true",
"max_transition": 3.75,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.002429
},
"pin,X": {
"direction": "output",
"function": "(A&SLEEP_B)",
"internal_power": [
{
"fall_power,power_outputs_4": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00155676,
0.00484698,
0.0150911,
0.0469864,
0.146293,
0.455485
],
"values": [
[
0.0614456,
0.0601931,
0.056179,
0.0434035,
0.0003325,
-0.1409081,
-0.588203
],
[
0.0694458,
0.0682137,
0.0641094,
0.0514721,
0.0083366,
-0.1328495,
-0.5802878
],
[
0.0776038,
0.0763595,
0.072153,
0.0595464,
0.0164302,
-0.1247611,
-0.5721651
],
[
0.0846751,
0.0834131,
0.0794538,
0.0667063,
0.0235619,
-0.1177812,
-0.5649583
],
[
0.0898057,
0.0885766,
0.0846043,
0.0718792,
0.0287419,
-0.1124891,
-0.5599104
],
[
0.0923426,
0.0911122,
0.0869668,
0.0743521,
0.031222,
-0.1099571,
-0.5573794
],
[
0.0893962,
0.088123,
0.0840447,
0.0714245,
0.0283,
-0.1129513,
-0.5603885
],
[
0.0931818,
0.0917361,
0.0878514,
0.0752385,
0.0321057,
-0.109132,
-0.5565258
],
[
0.0893861,
0.0880642,
0.0841577,
0.0715055,
0.0283821,
-0.1128156,
-0.56024
],
[
0.0912921,
0.0899644,
0.0860772,
0.0733854,
0.0302936,
-0.1108769,
-0.5584137
],
[
0.0931852,
0.0918972,
0.087923,
0.075239,
0.0321405,
-0.1090057,
-0.55649
]
]
},
"related_pin": "SLEEP_B",
"rise_power,power_outputs_4": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00155676,
0.00484698,
0.0150911,
0.0469864,
0.146293,
0.455485
],
"values": [
[
0.1184095,
0.1200689,
0.1254044,
0.141349,
0.1886512,
0.3290751,
0.7634153
],
[
0.1191065,
0.1207146,
0.1259458,
0.1420711,
0.1893336,
0.3299482,
0.7641237
],
[
0.119754,
0.1214251,
0.1266547,
0.1427819,
0.1900649,
0.3306542,
0.7643931
],
[
0.1156791,
0.1173554,
0.1225197,
0.1386395,
0.1858932,
0.3265169,
0.7603337
],
[
0.1025714,
0.1042759,
0.1095931,
0.1256957,
0.1728858,
0.3135172,
0.7473335
],
[
0.0897398,
0.0914333,
0.0967564,
0.1128562,
0.1600508,
0.3007038,
0.7344574
],
[
0.0640581,
0.0657559,
0.0711223,
0.0870609,
0.1343794,
0.2750364,
0.7090685
],
[
0.0589162,
0.0607027,
0.0660067,
0.0820266,
0.1293315,
0.2697809,
0.703435
],
[
0.0445963,
0.046329,
0.0514615,
0.0673785,
0.1148367,
0.2555436,
0.6902892
],
[
0.0370583,
0.0387643,
0.0440112,
0.0601038,
0.1072822,
0.2481667,
0.6822997
],
[
0.0296693,
0.0312837,
0.0365951,
0.0525809,
0.0998337,
0.2407021,
0.6742642
]
]
}
},
{
"fall_power,power_outputs_4": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00155676,
0.00484698,
0.0150911,
0.0469864,
0.146293,
0.455485
],
"values": [
[
0.0865301,
0.0853268,
0.0815644,
0.0696576,
0.0286115,
-0.1068196,
-0.5346297
],
[
0.0864504,
0.0852295,
0.0815175,
0.0695353,
0.028504,
-0.1068991,
-0.534757
],
[
0.0866019,
0.085438,
0.0817385,
0.0697723,
0.0287168,
-0.106722,
-0.5345599
],
[
0.0860053,
0.0848187,
0.0810909,
0.0690782,
0.0280728,
-0.1073685,
-0.5352005
],
[
0.0861479,
0.0849854,
0.0813263,
0.0693247,
0.0282667,
-0.107194,
-0.5349521
],
[
0.0853912,
0.0842315,
0.0805412,
0.0685504,
0.0275225,
-0.1079079,
-0.5357335
],
[
0.0853697,
0.0842076,
0.0804923,
0.0685202,
0.0274985,
-0.1079379,
-0.5357623
],
[
0.0841089,
0.0829708,
0.0792599,
0.0673029,
0.0262557,
-0.1091844,
-0.5370205
],
[
0.0830591,
0.0818279,
0.0779353,
0.0652377,
0.0244516,
-0.1109733,
-0.5387737
],
[
0.0823411,
0.0811219,
0.0772553,
0.0647656,
0.0238199,
-0.1114279,
-0.5392089
],
[
0.0820992,
0.0808793,
0.0770257,
0.0645631,
0.0236654,
-0.1111208,
-0.5389562
]
]
},
"related_pin": "A",
"rise_power,power_outputs_4": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00155676,
0.00484698,
0.0150911,
0.0469864,
0.146293,
0.455485
],
"values": [
[
0.1007824,
0.1024076,
0.1075683,
0.1229046,
0.1679941,
0.301368,
0.7114574
],
[
0.1008028,
0.1024134,
0.1075195,
0.1229263,
0.1677199,
0.3011169,
0.7118617
],
[
0.1008322,
0.1025444,
0.1076937,
0.1230469,
0.1680623,
0.3015583,
0.7119294
],
[
0.1001957,
0.1018428,
0.1069423,
0.1224104,
0.1673234,
0.300543,
0.7109612
],
[
0.1005033,
0.1022196,
0.1072683,
0.1226494,
0.1674848,
0.3010373,
0.7117547
],
[
0.0998746,
0.1015788,
0.1066369,
0.1220024,
0.166987,
0.3003971,
0.7115472
],
[
0.1002521,
0.1018981,
0.1070054,
0.1224859,
0.1674595,
0.3003593,
0.7115724
],
[
0.099216,
0.1008645,
0.1059498,
0.121334,
0.1663589,
0.2996162,
0.7102532
],
[
0.0985283,
0.1002053,
0.1053199,
0.1208122,
0.1655693,
0.2990195,
0.7095294
],
[
0.1007721,
0.1023823,
0.1073015,
0.1218456,
0.166516,
0.2994565,
0.7100147
],
[
0.1004609,
0.1021183,
0.1070964,
0.1221174,
0.1662692,
0.2996116,
0.7100599
]
]
}
}
],
"max_capacitance": 0.455485,
"max_transition": 3.747981,
"power_down_function": "(!LVPWR+!VPWR+VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"cell_fall,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00155676,
0.00484698,
0.0150911,
0.0469864,
0.146293,
0.455485
],
"values": [
[
0.8885715,
0.9012303,
0.9368351,
1.027787,
1.2511695,
1.8507723,
3.662799
],
[
0.8917276,
0.9045666,
0.9402267,
1.0312805,
1.2542331,
1.8555495,
3.6718819
],
[
0.896454,
0.9090579,
0.9446774,
1.0356377,
1.2590105,
1.8584616,
3.6775145
],
[
0.9140301,
0.9266508,
0.9620645,
1.0530171,
1.2760577,
1.8765542,
3.6936587
],
[
0.9584964,
0.9710982,
1.0067284,
1.0976899,
1.321063,
1.9204911,
3.7312136
],
[
1.0002524,
1.0128741,
1.0482702,
1.1392175,
1.3624405,
1.9627295,
3.7749328
],
[
1.0569603,
1.0698614,
1.1052984,
1.1961355,
1.4195312,
2.0190707,
3.8330934
],
[
1.1010648,
1.1135649,
1.1488748,
1.23995,
1.4633943,
2.0630113,
3.875277
],
[
1.1978181,
1.2103847,
1.2460338,
1.3369488,
1.560474,
2.159928,
3.9745736
],
[
1.2406551,
1.2532683,
1.2888813,
1.3798411,
1.6032248,
2.2027393,
4.0157735
],
[
1.280421,
1.2929885,
1.3287401,
1.4195571,
1.6430266,
2.2422868,
4.0539163
]
]
},
"cell_rise,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00155676,
0.00484698,
0.0150911,
0.0469864,
0.146293,
0.455485
],
"values": [
[
1.6145817,
1.6257794,
1.6570662,
1.7320231,
1.9095168,
2.3795754,
3.8005239
],
[
1.6174065,
1.6284948,
1.6590158,
1.734795,
1.9123561,
2.3823088,
3.8026071
],
[
1.6217454,
1.633063,
1.6639452,
1.739353,
1.9167752,
2.3867597,
3.8076045
],
[
1.6363684,
1.6476112,
1.6784446,
1.7539619,
1.9313884,
2.4011766,
3.8226751
],
[
1.6665297,
1.6777759,
1.7085915,
1.7833498,
1.9607542,
2.4304603,
3.8509018
],
[
1.6903944,
1.7016296,
1.7324265,
1.8073362,
1.98471,
2.4543707,
3.8768313
],
[
1.7156688,
1.726592,
1.757533,
1.8328172,
2.0100672,
2.4796134,
3.901472
],
[
1.7298915,
1.7404589,
1.7714122,
1.8469092,
2.0243149,
2.4940034,
3.9157877
],
[
1.7417303,
1.7529473,
1.7837587,
1.8590633,
2.0363948,
2.5057476,
3.9261958
],
[
1.7393902,
1.7505879,
1.7811937,
1.8568261,
2.0339377,
2.5039391,
3.925487
],
[
1.734707,
1.7459539,
1.7769258,
1.8516515,
2.0291193,
2.499116,
3.9194963
]
]
},
"fall_transition,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00155676,
0.00484698,
0.0150911,
0.0469864,
0.146293,
0.455485
],
"values": [
[
0.1418313,
0.1511357,
0.1791749,
0.2592964,
0.5024021,
1.2776196,
3.7456616
],
[
0.1418071,
0.1522987,
0.1789689,
0.2598956,
0.5019061,
1.277205,
3.7474273
],
[
0.1413502,
0.1509717,
0.1793607,
0.2598049,
0.5011401,
1.274731,
3.747981
],
[
0.1415459,
0.1507071,
0.1792214,
0.2597057,
0.5018956,
1.2761929,
3.7467625
],
[
0.1413717,
0.1510122,
0.1793899,
0.2597978,
0.5011373,
1.2805302,
3.7438075
],
[
0.1415409,
0.1506916,
0.1791573,
0.2597396,
0.5020616,
1.2775362,
3.7400634
],
[
0.1423356,
0.1507766,
0.1786396,
0.2595135,
0.5017695,
1.2775975,
3.7470831
],
[
0.1409141,
0.1506304,
0.1790676,
0.2597133,
0.5022684,
1.2776078,
3.7458263
],
[
0.1419721,
0.1516563,
0.1796191,
0.2598613,
0.5016873,
1.2762326,
3.7468366
],
[
0.1416958,
0.1508885,
0.1794446,
0.259763,
0.5023388,
1.2758417,
3.7437076
],
[
0.1419918,
0.1512495,
0.1787446,
0.2596407,
0.5018183,
1.2774979,
3.7345753
]
]
},
"related_pin": "A",
"rise_transition,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00155676,
0.00484698,
0.0150911,
0.0469864,
0.146293,
0.455485
],
"values": [
[
0.1219925,
0.1292242,
0.1501892,
0.2123563,
0.3949561,
0.9941269,
2.9125522
],
[
0.1220407,
0.1290086,
0.1520609,
0.2121212,
0.3942383,
0.9939057,
2.9162341
],
[
0.1225008,
0.1310397,
0.1519448,
0.2137389,
0.3959262,
0.9939746,
2.9142528
],
[
0.123274,
0.1306519,
0.1523508,
0.2142918,
0.3949739,
0.9940805,
2.9115206
],
[
0.1225493,
0.1297668,
0.151085,
0.2124937,
0.3954415,
0.9943532,
2.9201204
],
[
0.1224372,
0.1296409,
0.150628,
0.2128274,
0.3956957,
0.9943091,
2.9232021
],
[
0.1235324,
0.1301035,
0.1513189,
0.2134839,
0.3949994,
0.9926003,
2.9162834
],
[
0.1228867,
0.1305964,
0.1521491,
0.2144164,
0.395477,
0.9941621,
2.9194391
],
[
0.1221175,
0.1290211,
0.1506228,
0.2145489,
0.3947632,
0.9935927,
2.9164486
],
[
0.1218494,
0.1290636,
0.1512571,
0.2118688,
0.3949396,
0.9924358,
2.9116565
],
[
0.1225061,
0.1297164,
0.1505659,
0.2137421,
0.3964067,
0.9932385,
2.9154639
]
]
},
"timing_sense": "positive_unate",
"timing_type": "combinational"
},
{
"cell_fall,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00155676,
0.00484698,
0.0150911,
0.0469864,
0.146293,
0.455485
],
"values": [
[
3.9511483,
3.9644235,
4.0015129,
4.0958397,
4.323911,
4.9286597,
6.7434405
],
[
3.9554764,
3.9692831,
4.0068273,
4.0995451,
4.3278603,
4.9328825,
6.7451871
],
[
3.9620329,
3.9752157,
4.012501,
4.1053947,
4.3339639,
4.9394644,
6.7512911
],
[
3.9807757,
3.99397,
4.031655,
4.1256611,
4.3532968,
4.9582427,
6.7709558
],
[
4.0479597,
4.0618358,
4.0987338,
4.1932702,
4.4211665,
5.0258091,
6.83767
],
[
4.149022,
4.1628754,
4.2000132,
4.2932382,
4.5211888,
5.1268607,
6.9386976
],
[
4.3521045,
4.36544,
4.4024993,
4.4956394,
4.7236401,
5.3280571,
7.1434285
],
[
4.5554724,
4.5689617,
4.6083328,
4.7010396,
4.929444,
5.5326881,
7.345236
],
[
5.1288196,
5.1419926,
5.1796483,
5.2739648,
5.5004756,
6.1051351,
7.9174463
],
[
5.4234386,
5.4368527,
5.4737423,
5.5681593,
5.7947052,
6.4002084,
8.2118126
],
[
5.7105871,
5.7238099,
5.7619216,
5.8559633,
6.0828516,
6.6873711,
8.4989731
]
]
},
"cell_rise,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00155676,
0.00484698,
0.0150911,
0.0469864,
0.146293,
0.455485
],
"values": [
[
2.1539799,
2.1652176,
2.196358,
2.2718107,
2.4494264,
2.9192855,
4.3406176
],
[
2.1592126,
2.1701843,
2.2011604,
2.2768927,
2.4545062,
2.9236957,
4.3467443
],
[
2.1650457,
2.1759881,
2.2069633,
2.2827353,
2.4601372,
2.9295064,
4.3525472
],
[
2.1873774,
2.1982038,
2.2286997,
2.3045132,
2.4820999,
2.9512877,
4.3743342
],
[
2.2589652,
2.2702251,
2.3009712,
2.3767497,
2.5539841,
3.0235594,
4.4457082
],
[
2.3668005,
2.3780933,
2.4086662,
2.4846456,
2.6618381,
3.1315015,
4.5532422
],
[
2.5822859,
2.5935558,
2.6244473,
2.6999805,
2.8775318,
3.3473275,
4.7671342
],
[
2.7980778,
2.8095164,
2.8407463,
2.9159819,
3.093364,
3.5627674,
4.9852846
],
[
3.3860446,
3.3972891,
3.42789,
3.5031178,
3.6806105,
4.1504258,
5.5729861
],
[
3.6620806,
3.6733017,
3.7041294,
3.7797947,
3.9569783,
4.4267034,
5.848126
],
[
3.9191437,
3.9296406,
3.9606656,
4.0364942,
4.2140162,
4.6838302,
6.1051475
]
]
},
"fall_transition,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00155676,
0.00484698,
0.0150911,
0.0469864,
0.146293,
0.455485
],
"values": [
[
0.1598419,
0.169621,
0.1972851,
0.2770554,
0.5143889,
1.2825592,
3.7468153
],
[
0.1595866,
0.1695135,
0.1967592,
0.2778963,
0.5151013,
1.2823929,
3.7455763
],
[
0.1594517,
0.169121,
0.1967162,
0.2779015,
0.5161749,
1.2820091,
3.7466528
],
[
0.1593899,
0.1689135,
0.1971693,
0.277141,
0.5144333,
1.2818648,
3.7465964
],
[
0.1593281,
0.1690355,
0.1969219,
0.2767193,
0.5146117,
1.282462,
3.745257
],
[
0.1593317,
0.1690127,
0.1967576,
0.2776467,
0.514967,
1.2824706,
3.7452237
],
[
0.1594899,
0.16903,
0.1967691,
0.2777114,
0.5150368,
1.2815395,
3.7367456
],
[
0.1594309,
0.168762,
0.1967801,
0.277949,
0.5149906,
1.2824586,
3.743549
],
[
0.1594377,
0.168808,
0.196776,
0.2767714,
0.516635,
1.2823653,
3.7455172
],
[
0.1594188,
0.1687297,
0.1967872,
0.2765836,
0.5164962,
1.2824607,
3.7460275
],
[
0.1594084,
0.1688163,
0.1967845,
0.2764497,
0.5150363,
1.2824594,
3.7458858
]
]
},
"related_pin": "SLEEP_B",
"rise_transition,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00155676,
0.00484698,
0.0150911,
0.0469864,
0.146293,
0.455485
],
"values": [
[
0.1236841,
0.1308889,
0.1515838,
0.2147296,
0.3952413,
0.9925279,
2.9110316
],
[
0.1220347,
0.1295741,
0.1516471,
0.213678,
0.3957349,
0.9937413,
2.9170972
],
[
0.1221603,
0.1295821,
0.1516212,
0.2136186,
0.3952393,
0.9937391,
2.9173914
],
[
0.1224846,
0.1297443,
0.1515103,
0.2135678,
0.3957783,
0.9937504,
2.9177967
],
[
0.1239951,
0.1313101,
0.1516108,
0.2123627,
0.3957428,
0.9943804,
2.9190603
],
[
0.1236742,
0.1312156,
0.1515039,
0.2123748,
0.3957183,
0.9943673,
2.9198755
],
[
0.1233907,
0.130862,
0.1526299,
0.2146878,
0.3956457,
0.9940297,
2.9188119
],
[
0.1239965,
0.1302896,
0.1528532,
0.2136382,
0.3954991,
0.9930526,
2.9161922
],
[
0.1234019,
0.130486,
0.1504706,
0.2123911,
0.3950034,
0.9929031,
2.9194094
],
[
0.1238076,
0.1308006,
0.1516677,
0.2127841,
0.3956328,
0.994554,
2.9087233
],
[
0.1237973,
0.130837,
0.1525182,
0.2138746,
0.395338,
0.9939143,
2.9176417
]
]
},
"timing_sense": "positive_unate",
"timing_type": "combinational"
}
]
}
}