blob: b3dbb06e346cd1b4f372347a8cfb10c86295b08d [file] [log] [blame]
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HVL__UDP_DFF_P_V
`define SKY130_FD_SC_HVL__UDP_DFF_P_V
/**
* udp_dff$P: Positive edge triggered D flip-flop (Q output UDP).
*
* Verilog primitive definition.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`ifdef NO_PRIMITIVES
`include "./sky130_fd_sc_hvl__udp_dff_p.blackbox.v"
`else
primitive sky130_fd_sc_hvl__udp_dff$P (
Q ,
D ,
CLK
);
output Q ;
input D ;
input CLK;
reg Q;
table
// D CLK : Qt : Qt+1
1 (01) : ? : 1 ; // clocked data
0 (01) : ? : 0 ;
1 (x1) : 1 : 1 ; // reducing pessimism
0 (x1) : 0 : 0 ;
0 x : 0 : 0 ; // unkown CP, hold when D==Q==0
1 x : 1 : 1 ; // unkown CP, hold when D==Q==1
? (?0) : ? : - ;
* b : ? : - ; // ignore edges on data, unless CP=X
endtable
endprimitive
`endif // NO_PRIMITIVES
`default_nettype wire
`endif // SKY130_FD_SC_HVL__UDP_DFF_P_V