blob: 6a1ddeaf0fbe143f4980f1c71e1a7321a0221e5e [file] [log] [blame]
{
"area": 203.1744,
"cell_footprint": "sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg",
"cell_leakage_power": 2960.773,
"comp_attribute,input_voltage_range": [
1.28,
1.95
],
"comp_attribute,output_voltage_range": [
1.65,
5.5
],
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"is_level_shifter": "true",
"leakage_power": [
{
"value": 39.2062383,
"when": "A&!SLEEP_B"
},
{
"value": 5886.7649386,
"when": "!A&SLEEP_B"
},
{
"value": 25.9349378,
"when": "!A&!SLEEP_B"
},
{
"value": 5891.1855376,
"when": "A&SLEEP_B"
}
],
"level_shifter_type": "LH",
"pg_pin,LVPWR": {
"pg_type": "primary_power",
"voltage_name": "LVPWR"
},
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,A": {
"capacitance": 0.005068,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.004953,
"input_signal_level": "LVPWR",
"internal_power": {
"fall_power,power_inputs_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.1332667,
0.1326034,
0.1318909,
0.133926,
0.1404494,
0.1546103,
0.1830039,
0.2152565,
0.3052737,
0.3519675,
0.3986613
]
},
"rise_power,power_inputs_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.1156336,
0.1150654,
0.1144539,
0.1162186,
0.1218442,
0.1363649,
0.1654535,
0.1979921,
0.2887629,
0.3358595,
0.3829561
]
}
},
"level_shifter_data_pin": "true",
"max_transition": 3.75,
"related_ground_pin": "VGND",
"related_power_pin": "LVPWR",
"rise_capacitance": 0.005183
},
"pin,SLEEP_B": {
"capacitance": 0.002511,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.002477,
"internal_power": {
"fall_power,power_inputs_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.0084928,
-0.002391,
-0.0132865,
-0.0227074,
-0.0293111,
-0.0339749,
-0.0325594,
-0.03868,
-0.0365397,
-0.0405951,
-0.0446505
]
},
"rise_power,power_inputs_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.0379253,
0.0360943,
0.0341147,
0.0439936,
0.0750199,
0.083974,
0.1019294,
0.1090079,
0.1288204,
0.1390823,
0.1493442
]
}
},
"level_shifter_enable_pin": "true",
"max_transition": 3.75,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.002544
},
"pin,X": {
"direction": "output",
"function": "(A&SLEEP_B)",
"internal_power": [
{
"fall_power,power_outputs_4": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00164298,
0.0053988,
0.0177403,
0.058294,
0.191552,
0.629435
],
"values": [
[
0.1264704,
0.1246695,
0.1185459,
0.0983558,
0.025298,
-0.2278679,
-1.0701537
],
[
0.1262431,
0.1243942,
0.1184348,
0.0981575,
0.0251173,
-0.228055,
-1.070326
],
[
0.1266027,
0.1247543,
0.1188062,
0.0984844,
0.0255173,
-0.2277119,
-1.0700051
],
[
0.125244,
0.1233798,
0.1174151,
0.0970797,
0.0240891,
-0.2290707,
-1.0713858
],
[
0.1261885,
0.1243888,
0.1182587,
0.097987,
0.0250384,
-0.2282165,
-1.0704557
],
[
0.1246443,
0.1228314,
0.116716,
0.0964565,
0.0234736,
-0.2297489,
-1.0720335
],
[
0.1250707,
0.1232567,
0.1171582,
0.0968888,
0.0239041,
-0.2292842,
-1.071588
],
[
0.1226998,
0.120831,
0.1148859,
0.0945288,
0.0215483,
-0.2316447,
-1.0739385
],
[
0.1212394,
0.1192843,
0.1129792,
0.0917907,
0.0190113,
-0.2341753,
-1.0764247
],
[
0.1207304,
0.1188284,
0.1124369,
0.0912197,
0.0183239,
-0.2340349,
-1.0763431
],
[
0.1204941,
0.1185877,
0.1122045,
0.0910423,
0.0181754,
-0.2335879,
-1.075845
]
]
},
"related_pin": "A",
"rise_power,power_outputs_4": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00164298,
0.0053988,
0.0177403,
0.058294,
0.191552,
0.629435
],
"values": [
[
0.1477716,
0.1502384,
0.1582285,
0.1838364,
0.263713,
0.5135988,
1.3299724
],
[
0.1478074,
0.1501768,
0.1581492,
0.1839075,
0.2634997,
0.513124,
1.3299802
],
[
0.1480699,
0.1505007,
0.1584641,
0.1842095,
0.2637514,
0.5141534,
1.3302936
],
[
0.1469171,
0.1492738,
0.1572452,
0.1830177,
0.2626418,
0.5131577,
1.3291073
],
[
0.147737,
0.15006,
0.15823,
0.1841229,
0.2632606,
0.5139762,
1.3299321
],
[
0.1468127,
0.149233,
0.1572508,
0.1830919,
0.2627415,
0.5127641,
1.3286096
],
[
0.1474098,
0.1499532,
0.157969,
0.1838046,
0.2633581,
0.5133552,
1.329481
],
[
0.1466001,
0.1491561,
0.1570381,
0.1830344,
0.2627068,
0.5122772,
1.3283921
],
[
0.1478628,
0.1502172,
0.1578773,
0.1829419,
0.2609249,
0.5103581,
1.3264948
],
[
0.1473859,
0.1498026,
0.1575997,
0.1828339,
0.2622627,
0.5115338,
1.3266658
],
[
0.1479791,
0.1503422,
0.1581025,
0.183317,
0.2629252,
0.5123561,
1.3277734
]
]
}
},
{
"fall_power,power_outputs_4": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00164298,
0.0053988,
0.0177403,
0.058294,
0.191552,
0.629435
],
"values": [
[
0.0923483,
0.0904547,
0.0840752,
0.0627417,
-0.0127509,
-0.2737085,
-1.1439182
],
[
0.1030816,
0.1011779,
0.0947913,
0.0735471,
-0.0019291,
-0.2629624,
-1.133121
],
[
0.1138708,
0.1119502,
0.1059183,
0.084544,
0.0089479,
-0.2521052,
-1.1224209
],
[
0.1233875,
0.1214231,
0.1150813,
0.0937794,
0.0181775,
-0.2426366,
-1.1131321
],
[
0.1292982,
0.1274012,
0.120908,
0.0996954,
0.024335,
-0.2366187,
-1.1068887
],
[
0.1335206,
0.1316059,
0.1252424,
0.1039681,
0.0283923,
-0.2324995,
-1.1028635
],
[
0.1310768,
0.1291619,
0.122736,
0.1014445,
0.025848,
-0.2350895,
-1.1053677
],
[
0.1359438,
0.134042,
0.1275606,
0.1063654,
0.0309378,
-0.2299836,
-1.1001081
],
[
0.1316158,
0.1296194,
0.1232019,
0.1019163,
0.0262612,
-0.2347314,
-1.1051095
],
[
0.1339107,
0.132004,
0.1256378,
0.1043902,
0.0288323,
-0.2320748,
-1.1023433
],
[
0.136976,
0.1350394,
0.1286133,
0.1073254,
0.0316909,
-0.229273,
-1.0995639
]
]
},
"related_pin": "SLEEP_B",
"rise_power,power_outputs_4": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00164298,
0.0053988,
0.0177403,
0.058294,
0.191552,
0.629435
],
"values": [
[
0.1540678,
0.1564641,
0.1644887,
0.1911642,
0.2737093,
0.5334328,
1.3827643
],
[
0.1557277,
0.1581335,
0.1662174,
0.1928451,
0.2753397,
0.5353109,
1.3849366
],
[
0.1578427,
0.160231,
0.1683031,
0.1949477,
0.277445,
0.5373144,
1.3863706
],
[
0.1477872,
0.1501674,
0.1583817,
0.1850405,
0.2673926,
0.5272511,
1.3761694
],
[
0.1165739,
0.1190296,
0.1270095,
0.1537387,
0.2361839,
0.4961409,
1.344926
],
[
0.1075667,
0.110029,
0.1181123,
0.1446485,
0.2272336,
0.487046,
1.3362127
],
[
0.0891073,
0.091563,
0.0995579,
0.1262718,
0.2087145,
0.4686751,
1.3173914
],
[
0.0818478,
0.0842657,
0.0924686,
0.1191084,
0.2016502,
0.4615433,
1.3105412
],
[
0.0613613,
0.0638632,
0.0719643,
0.0985775,
0.1810748,
0.4414277,
1.2904958
],
[
0.0506943,
0.053363,
0.0614882,
0.0882143,
0.1704793,
0.4309862,
1.2799071
],
[
0.0402207,
0.0427637,
0.0508107,
0.0776596,
0.1605439,
0.4205555,
1.2697652
]
]
}
}
],
"max_capacitance": 0.629435,
"max_transition": 3.748988,
"power_down_function": "(!LVPWR+!VPWR+VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"cell_fall,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00164298,
0.0053988,
0.0177403,
0.058294,
0.191552,
0.629435
],
"values": [
[
0.6743631,
0.6845646,
0.7149268,
0.7939316,
0.9965142,
1.5760913,
3.438374
],
[
0.6772666,
0.6873856,
0.7176211,
0.796844,
0.9993977,
1.5790113,
3.4385057
],
[
0.6813461,
0.6914712,
0.7217089,
0.8009167,
1.0035029,
1.5832149,
3.443047
],
[
0.6968809,
0.7070076,
0.7372345,
0.8164943,
1.0189213,
1.5985261,
3.4614653
],
[
0.7312927,
0.7414709,
0.7713424,
0.8509155,
1.0535266,
1.6330538,
3.4946908
],
[
0.766198,
0.7763778,
0.8062219,
0.8858129,
1.0884268,
1.6686528,
3.5311405
],
[
0.8207481,
0.8307896,
0.8608257,
0.9399861,
1.1425437,
1.7224698,
3.5864445
],
[
0.8666834,
0.8768199,
0.9067652,
0.9859776,
1.1885507,
1.7688577,
3.6338965
],
[
0.9749787,
0.9851137,
1.0151985,
1.0946133,
1.2972884,
1.8775714,
3.7399563
],
[
1.025478,
1.0358397,
1.0655239,
1.1449416,
1.3474946,
1.9276003,
3.7878314
],
[
1.0740865,
1.0842937,
1.1144718,
1.1937746,
1.3962975,
1.9761297,
3.8353738
]
]
},
"cell_rise,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00164298,
0.0053988,
0.0177403,
0.058294,
0.191552,
0.629435
],
"values": [
[
1.15884,
1.167781,
1.1935722,
1.258362,
1.4152463,
1.85388,
3.2677521
],
[
1.1619562,
1.1706607,
1.1963188,
1.2611081,
1.4176018,
1.8560412,
3.2710783
],
[
1.1651551,
1.1741642,
1.1999737,
1.2649047,
1.4211597,
1.8604505,
3.2706393
],
[
1.1766325,
1.1855724,
1.2112217,
1.2761787,
1.4326551,
1.8710373,
3.2852778
],
[
1.1931639,
1.2022133,
1.2280618,
1.2930783,
1.449239,
1.8880313,
3.3019758
],
[
1.2031193,
1.2124721,
1.2383686,
1.3033155,
1.4592386,
1.8983612,
3.3098291
],
[
1.2070003,
1.2162427,
1.2421566,
1.3070522,
1.4632567,
1.9025543,
3.3137708
],
[
1.2017,
1.2106747,
1.2366238,
1.3016871,
1.4576587,
1.896415,
3.308017
],
[
1.1669953,
1.1759489,
1.2016155,
1.2666853,
1.422866,
1.8611184,
3.274012
],
[
1.1433569,
1.1523513,
1.1786189,
1.2436971,
1.3998527,
1.8382793,
3.2498744
],
[
1.1186876,
1.1274389,
1.1532179,
1.2188871,
1.3747594,
1.8136376,
3.2231899
]
]
},
"fall_transition,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00164298,
0.0053988,
0.0177403,
0.058294,
0.191552,
0.629435
],
"values": [
[
0.1052785,
0.1130909,
0.1359359,
0.2065952,
0.4294994,
1.1923457,
3.7488515
],
[
0.1050421,
0.112922,
0.1368222,
0.2068162,
0.4297962,
1.1921647,
3.7361971
],
[
0.1049706,
0.1129124,
0.1367812,
0.2068551,
0.4300013,
1.1922585,
3.7449741
],
[
0.105209,
0.1128743,
0.1368688,
0.2066824,
0.4298964,
1.1913132,
3.7459942
],
[
0.1055257,
0.1130919,
0.136194,
0.2068716,
0.4292461,
1.1917372,
3.7489882
],
[
0.1055014,
0.1129759,
0.1364197,
0.2067739,
0.4293092,
1.1918633,
3.7450731
],
[
0.105367,
0.1133351,
0.1366356,
0.2067864,
0.4299509,
1.1923879,
3.7470675
],
[
0.1055911,
0.1121577,
0.1359898,
0.2056277,
0.4300599,
1.1912168,
3.7454759
],
[
0.1054364,
0.1130244,
0.1368932,
0.2068632,
0.429465,
1.190375,
3.7423424
],
[
0.1052494,
0.1130176,
0.1368481,
0.2069447,
0.4296903,
1.191783,
3.739538
],
[
0.1054183,
0.1128994,
0.136064,
0.2070566,
0.4304351,
1.1926017,
3.7369228
]
]
},
"related_pin": "A",
"rise_transition,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00164298,
0.0053988,
0.0177403,
0.058294,
0.191552,
0.629435
],
"values": [
[
0.0932017,
0.0989387,
0.117017,
0.1685456,
0.33416,
0.9143181,
2.8699554
],
[
0.0928425,
0.099148,
0.1165272,
0.1686564,
0.3348922,
0.913106,
2.8680249
],
[
0.0926711,
0.0984289,
0.1160683,
0.1687775,
0.3344163,
0.9139016,
2.8690301
],
[
0.0925067,
0.0989605,
0.1164385,
0.168696,
0.334838,
0.913721,
2.8680154
],
[
0.0926841,
0.0986046,
0.1172304,
0.1686572,
0.334459,
0.9140401,
2.8689937
],
[
0.0923491,
0.0984446,
0.1161806,
0.1680853,
0.3343802,
0.9135759,
2.8748859
],
[
0.092666,
0.0987737,
0.1155892,
0.1691951,
0.334354,
0.9132606,
2.8731537
],
[
0.0924358,
0.0987668,
0.1160526,
0.1684772,
0.3339484,
0.9141902,
2.8747997
],
[
0.0935089,
0.0993874,
0.1162025,
0.1680894,
0.3346714,
0.9123023,
2.8734715
],
[
0.0932509,
0.0991156,
0.1162728,
0.1698124,
0.3345526,
0.9138247,
2.8760008
],
[
0.0920746,
0.0979387,
0.1155049,
0.1691644,
0.3338477,
0.9144087,
2.8671978
]
]
},
"timing_sense": "positive_unate",
"timing_type": "combinational"
},
{
"cell_fall,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00164298,
0.0053988,
0.0177403,
0.058294,
0.191552,
0.629435
],
"values": [
[
2.9067091,
2.917617,
2.9494525,
3.0320784,
3.2389547,
3.8224641,
5.6852785
],
[
2.9104965,
2.9214295,
2.9532656,
3.0359386,
3.2426968,
3.8262273,
5.6896199
],
[
2.9152973,
2.9263518,
2.9583589,
3.0408742,
3.2478535,
3.8313801,
5.6952572
],
[
2.9323532,
2.9432647,
2.9750511,
3.0577765,
3.2645924,
3.8478878,
5.7084768
],
[
2.9914449,
3.0023894,
3.0342253,
3.1170466,
3.3236387,
3.9071528,
5.7707754
],
[
3.0828777,
3.093803,
3.1256286,
3.2082983,
3.4151397,
3.9985415,
5.8595973
],
[
3.2641942,
3.27509,
3.3068726,
3.3896246,
3.5963479,
4.1790496,
6.0408669
],
[
3.4508036,
3.4617429,
3.4935799,
3.5763537,
3.7830206,
4.3665076,
6.2296817
],
[
3.962267,
3.9732035,
4.004916,
4.0875285,
4.2941242,
4.8773465,
6.7383428
],
[
4.210289,
4.2212278,
4.2530748,
4.3357304,
4.5425952,
5.1260227,
6.9874613
],
[
4.4411506,
4.4520396,
4.4837725,
4.5665729,
4.7732684,
5.3561631,
7.2175035
]
]
},
"cell_rise,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00164298,
0.0053988,
0.0177403,
0.058294,
0.191552,
0.629435
],
"values": [
[
1.4676232,
1.4765226,
1.502426,
1.5675882,
1.7238115,
2.1630355,
3.5733088
],
[
1.4720566,
1.4809118,
1.506783,
1.5719226,
1.7287022,
2.1674078,
3.5797891
],
[
1.4775101,
1.4864029,
1.5122419,
1.5775045,
1.733706,
2.1729196,
3.5831378
],
[
1.4977366,
1.5067511,
1.5326377,
1.5979855,
1.7540017,
2.1932836,
3.6044436
],
[
1.5589183,
1.5679457,
1.5936665,
1.6591275,
1.8155256,
2.2543429,
3.6661372
],
[
1.6556709,
1.6647184,
1.6901414,
1.7556403,
1.9120916,
2.351134,
3.763267
],
[
1.8474716,
1.8565061,
1.8822157,
1.9476818,
2.1040827,
2.5429039,
3.9547157
],
[
2.0324533,
2.0414919,
2.0673172,
2.1326512,
2.2886426,
2.7279203,
4.1393426
],
[
2.4503117,
2.4596571,
2.4854754,
2.5506113,
2.7073772,
3.1459901,
4.55857
],
[
2.6245979,
2.633652,
2.6593578,
2.724414,
2.8809797,
3.3198722,
4.7335851
],
[
2.7805953,
2.7896796,
2.8152884,
2.8805995,
3.0373803,
3.4766494,
4.8898112
]
]
},
"fall_transition,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00164298,
0.0053988,
0.0177403,
0.058294,
0.191552,
0.629435
],
"values": [
[
0.1221129,
0.1299708,
0.1534834,
0.2226929,
0.4417284,
1.1954158,
3.7414845
],
[
0.1220972,
0.1299593,
0.1535073,
0.2227282,
0.4423944,
1.1955564,
3.7407437
],
[
0.1219592,
0.1295027,
0.1533752,
0.2223462,
0.4405015,
1.1956965,
3.7424539
],
[
0.1219359,
0.1295228,
0.1536156,
0.2222601,
0.441481,
1.1950527,
3.7477861
],
[
0.1221564,
0.1299255,
0.1526516,
0.2228387,
0.4420786,
1.1956895,
3.7415528
],
[
0.1218009,
0.1297163,
0.1535905,
0.2224719,
0.441629,
1.1946247,
3.7469823
],
[
0.1219784,
0.1296109,
0.1535113,
0.2223271,
0.441199,
1.1956885,
3.7476817
],
[
0.1221663,
0.1299398,
0.1526085,
0.2226626,
0.441979,
1.1954422,
3.7440846
],
[
0.1216349,
0.1294985,
0.1533569,
0.2228564,
0.4412185,
1.1943686,
3.7471177
],
[
0.1219978,
0.1298873,
0.1535826,
0.2226011,
0.4416953,
1.1946025,
3.7461811
],
[
0.1220766,
0.1297469,
0.1534386,
0.2225915,
0.441072,
1.195307,
3.7475969
]
]
},
"related_pin": "SLEEP_B",
"rise_transition,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00164298,
0.0053988,
0.0177403,
0.058294,
0.191552,
0.629435
],
"values": [
[
0.0935112,
0.0985809,
0.1176566,
0.1690423,
0.3343009,
0.9132646,
2.8735034
],
[
0.0935945,
0.0987098,
0.1167361,
0.1694529,
0.3345205,
0.9143369,
2.872391
],
[
0.093508,
0.0986145,
0.1177354,
0.1691824,
0.3344073,
0.9132337,
2.8736871
],
[
0.0941641,
0.0999386,
0.1177116,
0.1692464,
0.3346019,
0.9141365,
2.8719738
],
[
0.0935607,
0.0995696,
0.1167872,
0.1694336,
0.3345428,
0.9143788,
2.8745195
],
[
0.0932782,
0.0991884,
0.1171109,
0.1691573,
0.3346876,
0.9144874,
2.8693714
],
[
0.0936035,
0.0995692,
0.1167873,
0.1694412,
0.3345448,
0.9143792,
2.8743024
],
[
0.0939991,
0.0999415,
0.117775,
0.1692561,
0.3347786,
0.9135769,
2.8741602
],
[
0.0936498,
0.0999348,
0.1176035,
0.1695593,
0.3345831,
0.9143145,
2.8670504
],
[
0.0938898,
0.0994837,
0.1177795,
0.1700543,
0.3367228,
0.9139461,
2.8717406
],
[
0.0938983,
0.0998791,
0.117721,
0.1696429,
0.3344017,
0.9146843,
2.8697797
]
]
},
"timing_sense": "positive_unate",
"timing_type": "combinational"
}
]
}
}