blob: 1e592d1755980c681804dd2ae7e2bf1ec1f851fc [file] [log] [blame]
{
"area": 9.768,
"cell_footprint": "sky130_fd_sc_hvl__buf",
"cell_leakage_power": 1.706653,
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"leakage_power": [
{
"value": 1.2375378,
"when": "A"
},
{
"value": 2.1757673,
"when": "!A"
}
],
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,A": {
"capacitance": 0.002773,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.002705,
"max_transition": 3.75,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.002842
},
"pin,X": {
"direction": "output",
"function": "(A)",
"internal_power": {
"fall_power,pwr_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0,
0.0086,
0.01032,
0.01238,
0.01486,
0.01783,
0.0214,
0.02568,
0.03082,
0.03698,
0.04438,
0.05326,
0.06391,
0.07669,
0.09203,
0.11043,
0.13252,
0.15902,
0.19082,
0.22898,
0.27478,
0.32974,
0.39569,
0.47483,
0.56979,
0.68375
],
"values": [
[
0.1972971,
0.0600983,
0.0340589,
0.002794,
-0.0348567,
-0.0804652,
-0.1347205,
-0.1996889,
-0.2779833,
-0.3715666,
-0.4828983,
-0.6178493,
-0.7787336,
-0.9728692,
-1.2048047,
-1.4837171,
-1.8169721,
-2.2195341,
-2.6994628,
-3.2753585,
-3.9695507,
-4.7999481,
-5.8006934,
-6.9960745,
-8.4305126,
-10.1578209
],
[
0.2017344,
0.0634948,
0.0375147,
0.0061838,
-0.031629,
-0.0770122,
-0.1314006,
-0.1963795,
-0.2747661,
-0.3675972,
-0.4803071,
-0.6146203,
-0.775909,
-0.9697016,
-1.2019279,
-1.4799323,
-1.8144778,
-2.2151422,
-2.6970814,
-3.2747648,
-3.967682,
-4.79767,
-5.7947563,
-6.99168,
-8.4283043,
-10.1521388
],
[
0.2010813,
0.0631279,
0.0366309,
0.005315,
-0.0327329,
-0.0779268,
-0.131901,
-0.1969992,
-0.2755119,
-0.3685818,
-0.4809536,
-0.6152922,
-0.7767871,
-0.9702494,
-1.2024018,
-1.4807169,
-1.8149453,
-2.2159383,
-2.6970034,
-3.2742192,
-3.9670813,
-4.7983422,
-5.7958166,
-6.9930443,
-8.4291881,
-10.1517035
],
[
0.2104689,
0.0708757,
0.0442966,
0.0127402,
-0.0250284,
-0.070167,
-0.1243328,
-0.1895482,
-0.2679113,
-0.3613203,
-0.473353,
-0.6081136,
-0.769468,
-0.9627088,
-1.1951232,
-1.4737263,
-1.8077361,
-2.2087913,
-2.689946,
-3.2672138,
-3.9601634,
-4.7917954,
-5.7884394,
-6.9859923,
-8.4223855,
-10.145266
],
[
0.2749117,
0.1258413,
0.0981348,
0.0654349,
0.0264736,
-0.0198814,
-0.0753421,
-0.1412915,
-0.2202328,
-0.314506,
-0.4274211,
-0.5624514,
-0.7245376,
-0.9186973,
-1.1512938,
-1.430125,
-1.7646423,
-2.1660291,
-2.6473038,
-3.2246567,
-3.9180484,
-4.7492337,
-5.7471835,
-6.9439316,
-8.3807219,
-10.1042451
],
[
0.382644,
0.2226438,
0.1939866,
0.1589893,
0.1183358,
0.0705225,
0.013309,
-0.0541059,
-0.1344717,
-0.2303767,
-0.3446635,
-0.4811376,
-0.6444262,
-0.8395363,
-1.0729468,
-1.35298,
-1.688309,
-2.0902212,
-2.5725625,
-3.1500677,
-3.8432431,
-4.6762442,
-5.6745582,
-6.8709944,
-8.3080242,
-10.0311346
],
[
0.6059329,
0.4245749,
0.3928351,
0.3556156,
0.3116131,
0.2603556,
0.2024349,
0.1327369,
0.0496903,
-0.0492824,
-0.1658219,
-0.3049879,
-0.4704166,
-0.6680253,
-0.903954,
-1.1856677,
-1.5234375,
-1.9269117,
-2.4105251,
-2.9901353,
-3.6846313,
-4.5177197,
-5.5166855,
-6.7152542,
-8.1519612,
-9.8772027
],
[
0.8256395,
0.6356281,
0.6026887,
0.5632068,
0.5180373,
0.4636085,
0.4015565,
0.3277547,
0.2402067,
0.1405343,
0.0197074,
-0.1225333,
-0.2906784,
-0.490081,
-0.7282836,
-1.0127095,
-1.3514888,
-1.7574387,
-2.2428485,
-2.8237964,
-3.5198521,
-4.3545499,
-5.354946,
-6.5543608,
-7.9924757,
-9.7184015
],
[
1.4258532,
1.2230701,
1.1868062,
1.1436482,
1.0946216,
1.0362852,
0.9689202,
0.8890139,
0.7983577,
0.6884746,
0.5603682,
0.4097748,
0.2312163,
0.023137,
-0.2231988,
-0.5157189,
-0.8618822,
-1.2718367,
-1.7627824,
-2.3500786,
-3.0509919,
-3.8896736,
-4.8936242,
-6.0952847,
-7.5367322,
-9.2648221
],
[
1.7349245,
1.5268875,
1.489976,
1.4475343,
1.3971856,
1.3390402,
1.2686188,
1.1872417,
1.0936271,
0.9817,
0.8513303,
0.6960811,
0.5154612,
0.3007377,
0.0490862,
-0.2465084,
-0.5986215,
-1.0150212,
-1.5080839,
-2.0975501,
-2.8011773,
-3.6421467,
-4.6484604,
-5.8537902,
-7.2971174,
-9.0270773
],
[
2.0433671,
1.8328211,
1.7949477,
1.7502699,
1.699486,
1.6381134,
1.567383,
1.4848735,
1.3884693,
1.2737434,
1.1414602,
0.9847044,
0.8008024,
0.5837097,
0.3285684,
0.0258217,
-0.3288392,
-0.7516362,
-1.2508672,
-1.8430652,
-2.5493498,
-3.3935672,
-4.4006715,
-5.6078994,
-7.0535777,
-8.785281
]
]
},
"related_pin": "A",
"rise_power,pwr_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0,
0.0086,
0.01032,
0.01238,
0.01486,
0.01783,
0.0214,
0.02568,
0.03082,
0.03698,
0.04438,
0.05326,
0.06391,
0.07669,
0.09203,
0.11043,
0.13252,
0.15902,
0.19082,
0.22898,
0.27478,
0.32974,
0.39569,
0.47483,
0.56979,
0.68375
],
"values": [
[
0.1038877,
0.2234253,
0.2487277,
0.2787377,
0.3153718,
0.3588212,
0.4115018,
0.4752201,
0.5515131,
0.6439487,
0.7537205,
0.8895017,
1.0479221,
1.2386988,
1.4711353,
1.7411005,
2.0738751,
2.4709156,
2.9488739,
3.5180822,
4.2012885,
5.0243138,
6.0149139,
7.1957853,
8.6219615,
10.323551
],
[
0.1071729,
0.2264363,
0.2517747,
0.2816892,
0.3182187,
0.3621492,
0.414299,
0.4781234,
0.554915,
0.6480969,
0.7573459,
0.8898685,
1.0491311,
1.2416605,
1.4694975,
1.7480895,
2.07587,
2.4752666,
2.949652,
3.5210594,
4.2081302,
5.0261947,
6.0186418,
7.2015116,
8.6194956,
10.3244305
],
[
0.1067107,
0.2258543,
0.2508367,
0.2811555,
0.3177803,
0.3613314,
0.413986,
0.4788568,
0.5539823,
0.647563,
0.7570781,
0.8894839,
1.0509118,
1.2398644,
1.4702407,
1.7469081,
2.0756872,
2.472758,
2.9513451,
3.5210199,
4.2064418,
5.0268161,
6.0182415,
7.1986692,
8.6237326,
10.3263127
],
[
0.1161635,
0.2334932,
0.2583914,
0.2885208,
0.3247589,
0.3680741,
0.4217266,
0.4839276,
0.5608554,
0.6528907,
0.7635797,
0.8953937,
1.0571382,
1.2449844,
1.4751883,
1.7537502,
2.0820546,
2.4791106,
2.9573688,
3.525334,
4.213636,
5.0322887,
6.0217704,
7.2040116,
8.6293001,
10.3313658
],
[
0.1835382,
0.2888302,
0.3124973,
0.3410908,
0.3759116,
0.4180183,
0.4692268,
0.5309101,
0.6075876,
0.6976065,
0.808771,
0.9401796,
1.098427,
1.2897307,
1.5154169,
1.7910357,
2.1225731,
2.5181674,
2.9952861,
3.5659761,
4.2462287,
5.0743238,
6.0567628,
7.2470073,
8.6616698,
10.3696422
],
[
0.2993552,
0.389504,
0.4115698,
0.4393655,
0.4709935,
0.5119165,
0.5603484,
0.6231138,
0.6959328,
0.7849719,
0.891547,
1.0227009,
1.1795156,
1.3684643,
1.5957686,
1.8683447,
2.1958817,
2.5924505,
3.0739679,
3.6381511,
4.3288616,
5.1425018,
6.1301291,
7.3130326,
8.7355773,
10.439264
],
[
0.5286805,
0.6062241,
0.6265685,
0.6516781,
0.6814149,
0.717145,
0.761462,
0.8166053,
0.8864579,
0.9728234,
1.0782334,
1.2057085,
1.3585713,
1.5445844,
1.7661276,
2.036331,
2.3611449,
2.7535901,
3.2274177,
3.798594,
4.4855094,
5.2979548,
6.2872391,
7.4643578,
8.8842986,
10.5949156
],
[
0.7551918,
0.8232599,
0.840183,
0.8633603,
0.8920212,
0.9317233,
0.9751663,
1.0262805,
1.0926453,
1.1717379,
1.2722401,
1.3932272,
1.5430515,
1.7280692,
1.9509924,
2.2182259,
2.5384116,
2.9256588,
3.3952399,
3.959905,
4.6458964,
5.4608497,
6.4458697,
7.6295738,
9.0415947,
10.7454785
],
[
1.3768441,
1.4329937,
1.4472083,
1.4668303,
1.4954289,
1.5255699,
1.5641357,
1.6139691,
1.6755938,
1.751415,
1.8472264,
1.9610384,
2.1005531,
2.2690284,
2.4786048,
2.7387947,
3.052319,
3.4291974,
3.8933336,
4.4505741,
5.1242311,
5.9324277,
6.9091214,
8.0863052,
9.5019794,
11.2042385
],
[
1.6928684,
1.7442131,
1.7593919,
1.7778031,
1.8017765,
1.8332105,
1.8699591,
1.9186771,
1.9778422,
2.0509977,
2.1421572,
2.2536543,
2.3947524,
2.5633578,
2.766258,
3.0142906,
3.3228061,
3.6959956,
4.1527083,
4.7064876,
5.3792191,
6.1875519,
7.1591845,
8.3301446,
9.7396388,
11.4354847
],
[
2.0080325,
2.0592903,
2.0749464,
2.0895296,
2.1122155,
2.1400695,
2.1747286,
2.2218953,
2.2794374,
2.3504511,
2.4412691,
2.5508268,
2.688362,
2.8568364,
3.0602156,
3.3032987,
3.5964324,
3.968696,
4.4223964,
4.9662804,
5.6336096,
6.4406057,
7.4112075,
8.5788473,
9.9842554,
11.6829522
]
]
}
},
"max_capacitance": 0.54761,
"max_transition": 4.662795,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": {
"cell_fall,delay_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0,
0.0086,
0.01032,
0.01238,
0.01486,
0.01783,
0.0214,
0.02568,
0.03082,
0.03698,
0.04438,
0.05326,
0.06391,
0.07669,
0.09203,
0.11043,
0.13252,
0.15902,
0.19082,
0.22898,
0.27478,
0.32974,
0.39569,
0.47483,
0.56979,
0.68375
],
"values": [
[
0.0827834,
0.1373225,
0.1467761,
0.157833,
0.1709803,
0.1863999,
0.2050327,
0.227185,
0.2539366,
0.2858505,
0.3242262,
0.3702889,
0.4255476,
0.4913116,
0.5708731,
0.6661686,
0.7830387,
0.9190321,
1.0840236,
1.2806574,
1.5202047,
1.8065291,
2.1470836,
2.556851,
3.0483001,
3.6403405
],
[
0.0872026,
0.1413722,
0.1508239,
0.1618372,
0.174985,
0.1904196,
0.20902,
0.231224,
0.2578402,
0.289595,
0.328084,
0.3739843,
0.4292839,
0.4962099,
0.5747173,
0.6708923,
0.7845743,
0.9238963,
1.0883212,
1.2861197,
1.5245163,
1.8071773,
2.1510267,
2.5614215,
3.0518224,
3.6447161
],
[
0.0895022,
0.1436964,
0.1530383,
0.1641236,
0.1772294,
0.1927869,
0.2112531,
0.2333448,
0.2599747,
0.2919021,
0.3301664,
0.3764007,
0.431455,
0.4978623,
0.5777981,
0.6724319,
0.7869051,
0.926263,
1.0903425,
1.2870736,
1.5242493,
1.8134193,
2.1515405,
2.5677185,
3.0548342,
3.644532
],
[
0.1025795,
0.155908,
0.1653364,
0.1762657,
0.1893413,
0.2048463,
0.2233852,
0.2455287,
0.2721837,
0.3040579,
0.342366,
0.388559,
0.4435578,
0.5100541,
0.590014,
0.6861677,
0.7991564,
0.9359934,
1.1019452,
1.3021256,
1.5373617,
1.821165,
2.1666076,
2.5775031,
3.0701506,
3.6571171
],
[
0.1433863,
0.1965502,
0.2056439,
0.2164111,
0.2292283,
0.244431,
0.2625986,
0.2843862,
0.3106682,
0.3422069,
0.3800404,
0.4258451,
0.4809881,
0.5472638,
0.62584,
0.7208329,
0.8372226,
0.9726224,
1.1405181,
1.3360564,
1.5721213,
1.8607816,
2.1992299,
2.6144535,
3.1017435,
3.6997889
],
[
0.1881163,
0.2443167,
0.2536143,
0.2639912,
0.2768391,
0.2920498,
0.3101127,
0.3317134,
0.3575352,
0.3886242,
0.42609,
0.4712041,
0.5255554,
0.5911773,
0.6704682,
0.7644781,
0.8784466,
1.0173793,
1.1824249,
1.3794529,
1.6144863,
1.9018542,
2.2408946,
2.6510985,
3.1429652,
3.7390784
],
[
0.2547941,
0.3166477,
0.32653,
0.3379033,
0.3512833,
0.3671008,
0.3858196,
0.4080195,
0.4344555,
0.4659418,
0.5034478,
0.5484821,
0.6026492,
0.6670869,
0.7451402,
0.8390528,
0.9520129,
1.0887478,
1.2523288,
1.4510291,
1.6858911,
1.9706852,
2.3108966,
2.720925,
3.2171743,
3.8026688
],
[
0.3077715,
0.3743495,
0.3848452,
0.3968231,
0.4109439,
0.4270208,
0.4463494,
0.4691617,
0.496432,
0.529025,
0.5673045,
0.6134963,
0.6686251,
0.7343067,
0.8130596,
0.9063753,
1.0192629,
1.1543972,
1.3173083,
1.5137888,
1.7516221,
2.0345035,
2.3739575,
2.784069,
3.279917,
3.8666643
],
[
0.4279004,
0.5041198,
0.5160399,
0.52941,
0.5447784,
0.5625841,
0.5834688,
0.6071462,
0.6357894,
0.669965,
0.7101709,
0.7584923,
0.8163403,
0.8856074,
0.9684143,
1.0669476,
1.1841007,
1.3225255,
1.4872417,
1.6819403,
1.9162096,
2.198016,
2.5377764,
2.9466294,
3.4371674,
4.0275356
],
[
0.4819276,
0.5618445,
0.5742964,
0.5878721,
0.6043087,
0.6229451,
0.6447271,
0.6697877,
0.6987375,
0.7334273,
0.77462,
0.8233599,
0.882138,
0.952362,
1.0363961,
1.1367036,
1.2562162,
1.3981861,
1.5659889,
1.7645529,
2.0001023,
2.281082,
2.6193643,
3.025922,
3.5154788,
4.1030783
],
[
0.5323703,
0.6161097,
0.628774,
0.64368,
0.6600524,
0.6791144,
0.7013269,
0.7270297,
0.7569376,
0.7921497,
0.8338185,
0.8835161,
0.9429887,
1.014219,
1.0995183,
1.2019399,
1.3233626,
1.4675685,
1.6387204,
1.8412357,
2.0802313,
2.363115,
2.7012488,
3.107326,
3.595686,
4.1830006
]
]
},
"cell_rise,delay_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0,
0.0086,
0.01032,
0.01238,
0.01486,
0.01783,
0.0214,
0.02568,
0.03082,
0.03698,
0.04438,
0.05326,
0.06391,
0.07669,
0.09203,
0.11043,
0.13252,
0.15902,
0.19082,
0.22898,
0.27478,
0.32974,
0.39569,
0.47483,
0.56979,
0.68375
],
"values": [
[
0.0753324,
0.1200672,
0.1278052,
0.1368062,
0.1477888,
0.1608199,
0.1764471,
0.194991,
0.2171897,
0.244421,
0.2770627,
0.3152802,
0.3620343,
0.4179906,
0.485059,
0.5668387,
0.6614471,
0.7778178,
0.917062,
1.0835183,
1.2871245,
1.5227475,
1.815746,
2.1560205,
2.5761004,
3.0668597
],
[
0.0783589,
0.1228605,
0.1306402,
0.1396743,
0.1505961,
0.1636148,
0.1792667,
0.1978833,
0.220152,
0.2468578,
0.2796012,
0.3183167,
0.3645569,
0.4208545,
0.4876379,
0.568364,
0.6645249,
0.780593,
0.9194847,
1.0865441,
1.2870114,
1.5271749,
1.81835,
2.1586237,
2.5782863,
3.0728875
],
[
0.0798965,
0.1243523,
0.1320717,
0.1412634,
0.1521071,
0.1650324,
0.1805451,
0.1993487,
0.2219167,
0.2485286,
0.2806332,
0.320261,
0.3663962,
0.4225533,
0.4895142,
0.5702844,
0.6672178,
0.7824797,
0.9217258,
1.0878839,
1.2886956,
1.5265517,
1.8198132,
2.1591435,
2.5806806,
3.071698
],
[
0.0895956,
0.1333826,
0.1410573,
0.1501549,
0.1608925,
0.1738426,
0.1894735,
0.2081228,
0.2305418,
0.2570503,
0.2894822,
0.3288831,
0.3749873,
0.4322008,
0.4976861,
0.5784603,
0.6738157,
0.7909869,
0.9304732,
1.0955215,
1.3002919,
1.5356125,
1.828464,
2.1706503,
2.5878768,
3.0858682
],
[
0.1145243,
0.1597117,
0.1672907,
0.1758955,
0.1864412,
0.1991164,
0.2143466,
0.2325481,
0.2545494,
0.2810618,
0.3127872,
0.351076,
0.3985828,
0.4531278,
0.5210949,
0.6020083,
0.6960189,
0.8141231,
0.9512676,
1.1184795,
1.3178321,
1.5616084,
1.8456177,
2.1949387,
2.603187,
3.1056178
],
[
0.1328158,
0.1818983,
0.1896188,
0.1986447,
0.208933,
0.2216802,
0.236843,
0.2549682,
0.2765324,
0.3025337,
0.3338881,
0.3717807,
0.4175068,
0.4723634,
0.5386633,
0.6183024,
0.7155213,
0.8302758,
0.9689168,
1.1340712,
1.3348024,
1.5763977,
1.8653998,
2.2094233,
2.6257798,
3.1196175
],
[
0.1470128,
0.204321,
0.2127499,
0.2224301,
0.233289,
0.2457139,
0.2614899,
0.2798236,
0.3019125,
0.3276259,
0.3591955,
0.3973434,
0.4427844,
0.4975049,
0.5651888,
0.6435832,
0.7368404,
0.8510411,
0.9890784,
1.1557626,
1.35448,
1.5935679,
1.8852893,
2.2240652,
2.6436533,
3.139369
],
[
0.1504456,
0.2135095,
0.2221593,
0.2324137,
0.2442561,
0.2578905,
0.2735356,
0.2914599,
0.3142016,
0.3406395,
0.3717115,
0.4103661,
0.4565344,
0.5119403,
0.5792965,
0.6585776,
0.7544124,
0.8692822,
1.0045548,
1.1682042,
1.3682112,
1.604804,
1.8949775,
2.2427245,
2.6516414,
3.1523057
],
[
0.1344125,
0.2096871,
0.2203003,
0.2322415,
0.2462803,
0.2617174,
0.2788208,
0.2984571,
0.3213091,
0.3484175,
0.3807913,
0.4184794,
0.4651161,
0.521609,
0.5904319,
0.6728199,
0.7706119,
0.8880644,
1.0288742,
1.1953528,
1.3925326,
1.6309172,
1.9139894,
2.2561506,
2.6681568,
3.1621801
],
[
0.119988,
0.199613,
0.2108761,
0.223613,
0.2381473,
0.2545259,
0.27293,
0.2937824,
0.3168844,
0.3439377,
0.3758816,
0.4148668,
0.4616183,
0.5184892,
0.5870309,
0.6700851,
0.7696105,
0.88664,
1.0302988,
1.1987622,
1.3989383,
1.6380194,
1.9256448,
2.2669451,
2.67477,
3.1707714
],
[
0.1021722,
0.1859417,
0.198464,
0.2118405,
0.2270071,
0.2436546,
0.2622454,
0.2837156,
0.3084221,
0.3360429,
0.36858,
0.408077,
0.4551672,
0.5120185,
0.5816582,
0.6628156,
0.7632268,
0.8831713,
1.024821,
1.1966603,
1.4006259,
1.640316,
1.92966,
2.2750777,
2.6838669,
3.1743479
]
]
},
"fall_transition,delay_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0,
0.0086,
0.01032,
0.01238,
0.01486,
0.01783,
0.0214,
0.02568,
0.03082,
0.03698,
0.04438,
0.05326,
0.06391,
0.07669,
0.09203,
0.11043,
0.13252,
0.15902,
0.19082,
0.22898,
0.27478,
0.32974,
0.39569,
0.47483,
0.56979,
0.68375
],
"values": [
[
0.0263711,
0.0804855,
0.0911209,
0.1040379,
0.1201901,
0.1395147,
0.1630895,
0.1916498,
0.2259474,
0.2683393,
0.318713,
0.3770729,
0.4485244,
0.5357061,
0.6415405,
0.7653215,
0.9184886,
1.0955675,
1.3077305,
1.570961,
1.8865514,
2.2505926,
2.7022986,
3.2402736,
3.8835966,
4.6538347
],
[
0.026412,
0.0804688,
0.0911899,
0.1040596,
0.1201739,
0.1395503,
0.1628393,
0.1918052,
0.2264433,
0.2680257,
0.3173713,
0.3779103,
0.4484028,
0.5375844,
0.6416601,
0.7635737,
0.915562,
1.0972996,
1.3126101,
1.5710963,
1.8777919,
2.2509055,
2.7007774,
3.2414613,
3.8829664,
4.6498219
],
[
0.0263033,
0.0804824,
0.0910272,
0.1039776,
0.1201108,
0.1393491,
0.1631406,
0.1917701,
0.22613,
0.2679971,
0.3179387,
0.3774019,
0.449706,
0.5360062,
0.638851,
0.7665756,
0.9159845,
1.0948461,
1.309842,
1.568465,
1.879255,
2.2604394,
2.6975215,
3.2425165,
3.8787613,
4.6513713
],
[
0.0264105,
0.0807646,
0.091318,
0.1042595,
0.1200469,
0.1395554,
0.1628464,
0.1916757,
0.2265349,
0.2678644,
0.318542,
0.3774214,
0.4500967,
0.5379137,
0.6392002,
0.7666096,
0.9149081,
1.0955911,
1.31337,
1.567268,
1.8782567,
2.25136,
2.7078568,
3.2341243,
3.8858485,
4.6519379
],
[
0.0322657,
0.0849478,
0.0951602,
0.107582,
0.1228427,
0.1416077,
0.1642276,
0.1927539,
0.2265109,
0.2685659,
0.316992,
0.3787148,
0.4490343,
0.5354303,
0.6395218,
0.765587,
0.9152507,
1.0937621,
1.3149248,
1.5680969,
1.8819173,
2.2601272,
2.697347,
3.2404304,
3.881222,
4.6549706
],
[
0.0433133,
0.0963642,
0.1057697,
0.1168345,
0.1310526,
0.1486947,
0.1710182,
0.1974847,
0.2306701,
0.270818,
0.3198843,
0.3789657,
0.4498271,
0.5364438,
0.6422319,
0.7651926,
0.9144454,
1.0971944,
1.3142415,
1.5682499,
1.8818451,
2.256713,
2.6985882,
3.2368777,
3.8825156,
4.6431912
],
[
0.059975,
0.1155368,
0.1250684,
0.1364313,
0.1499915,
0.1682488,
0.1896654,
0.2151095,
0.2464446,
0.2855964,
0.3318806,
0.3891298,
0.4585828,
0.5419362,
0.6439702,
0.7670312,
0.9157129,
1.0941035,
1.3095512,
1.5720132,
1.882577,
2.260456,
2.6970921,
3.2407173,
3.8775916,
4.6532982
],
[
0.0737861,
0.1318675,
0.1424576,
0.1540985,
0.1694025,
0.1865798,
0.2088055,
0.2333717,
0.2641055,
0.3029078,
0.3494327,
0.4073702,
0.4748397,
0.558081,
0.656997,
0.7775774,
0.9231689,
1.0995956,
1.3115399,
1.5696212,
1.8864093,
2.2555471,
2.6952387,
3.2409251,
3.8855532,
4.652621
],
[
0.1078521,
0.1720475,
0.1825851,
0.1968203,
0.2122804,
0.2292753,
0.2501278,
0.2759162,
0.3089027,
0.345408,
0.3924877,
0.4515985,
0.5219112,
0.6066808,
0.7082298,
0.8272165,
0.9700545,
1.1435919,
1.3477576,
1.6016223,
1.9000257,
2.2621003,
2.7110059,
3.2437226,
3.8787329,
4.6609654
],
[
0.1231705,
0.1939475,
0.2047617,
0.219125,
0.2332086,
0.2486428,
0.2705828,
0.2976349,
0.3289294,
0.3665896,
0.4146795,
0.4709849,
0.5414156,
0.6281437,
0.7318131,
0.8544159,
1.0003955,
1.1705311,
1.3774575,
1.6216011,
1.9175719,
2.2810913,
2.7160554,
3.2503478,
3.887306,
4.6600985
],
[
0.1426774,
0.210958,
0.2218609,
0.2318617,
0.2536679,
0.2709158,
0.2923252,
0.3186856,
0.3496868,
0.38611,
0.4326756,
0.4900661,
0.5611049,
0.6488127,
0.7525875,
0.8761448,
1.0261509,
1.2040875,
1.4071599,
1.6510315,
1.9468274,
2.3011946,
2.7390004,
3.2637208,
3.8955283,
4.662795
]
]
},
"related_pin": "A",
"rise_transition,delay_template11x26": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0,
0.0086,
0.01032,
0.01238,
0.01486,
0.01783,
0.0214,
0.02568,
0.03082,
0.03698,
0.04438,
0.05326,
0.06391,
0.07669,
0.09203,
0.11043,
0.13252,
0.15902,
0.19082,
0.22898,
0.27478,
0.32974,
0.39569,
0.47483,
0.56979,
0.68375
],
"values": [
[
0.0250859,
0.0754021,
0.0858208,
0.0984859,
0.1141516,
0.1328128,
0.1553326,
0.1829668,
0.2158951,
0.2560461,
0.3034503,
0.3611161,
0.4315767,
0.5148056,
0.6149419,
0.7342821,
0.877456,
1.049598,
1.2560215,
1.5056658,
1.8023472,
2.1578894,
2.5887761,
3.1042269,
3.7192138,
4.4628727
],
[
0.0252411,
0.0754367,
0.0858606,
0.0983885,
0.1142055,
0.1327761,
0.1551673,
0.1830533,
0.216023,
0.2555961,
0.3042881,
0.3618905,
0.4308415,
0.5149914,
0.6146356,
0.733525,
0.8775969,
1.0489822,
1.2562418,
1.5030294,
1.8036881,
2.1604754,
2.5865874,
3.1035272,
3.7147954,
4.4645252
],
[
0.025285,
0.0754756,
0.0859307,
0.0984479,
0.1139489,
0.1326144,
0.1552964,
0.1826219,
0.2157734,
0.2560385,
0.3039498,
0.3620547,
0.4315849,
0.5137065,
0.6138471,
0.7342524,
0.8782238,
1.0508767,
1.2569101,
1.5059991,
1.8018673,
2.1575712,
2.5883355,
3.1042382,
3.7195409,
4.4604938
],
[
0.0251738,
0.0755307,
0.0860584,
0.0988018,
0.1138934,
0.1328787,
0.1554668,
0.1830104,
0.2158343,
0.2558853,
0.3042096,
0.3619675,
0.4316226,
0.5147413,
0.6146073,
0.7347004,
0.877493,
1.0508608,
1.2575184,
1.5073852,
1.8019033,
2.1589412,
2.5892311,
3.102629,
3.7210008,
4.4624695
],
[
0.0338377,
0.0816471,
0.0913136,
0.102724,
0.1174445,
0.1354288,
0.1579633,
0.1846674,
0.2170049,
0.2566919,
0.3043197,
0.3617447,
0.4315387,
0.5148484,
0.6134807,
0.7344019,
0.877153,
1.0498494,
1.2584528,
1.5052264,
1.8030856,
2.1590116,
2.5860296,
3.1038727,
3.7168819,
4.4611368
],
[
0.0451488,
0.0910679,
0.100917,
0.1118463,
0.1258079,
0.1428875,
0.1639414,
0.1893563,
0.2217105,
0.2594822,
0.3063949,
0.3649611,
0.4316777,
0.5142477,
0.6137186,
0.7344672,
0.8779267,
1.0490666,
1.2559881,
1.5066528,
1.8026389,
2.1603712,
2.5862306,
3.1020817,
3.7185452,
4.4565955
],
[
0.0638681,
0.1105696,
0.1195691,
0.1305078,
0.1454508,
0.1607103,
0.1811301,
0.2055626,
0.2356866,
0.2729127,
0.3179167,
0.3743394,
0.4402637,
0.522658,
0.6232281,
0.7370184,
0.8808946,
1.0504837,
1.2551241,
1.5037745,
1.8034882,
2.1574733,
2.5877562,
3.1033851,
3.7176451,
4.4572643
],
[
0.0784297,
0.1295478,
0.1392424,
0.1492943,
0.1607729,
0.175975,
0.194917,
0.2208551,
0.2503618,
0.2872707,
0.3314207,
0.386809,
0.4536324,
0.5349273,
0.6308206,
0.7481704,
0.8905029,
1.0590915,
1.2592826,
1.505492,
1.8043038,
2.1595372,
2.5855782,
3.1007985,
3.7186034,
4.4625676
],
[
0.1146703,
0.1728565,
0.1812082,
0.1909177,
0.2028935,
0.2165906,
0.2375265,
0.2587614,
0.2878877,
0.3228207,
0.3656586,
0.4187872,
0.4861619,
0.5676555,
0.6653338,
0.7844789,
0.9268315,
1.0944494,
1.2959204,
1.5378506,
1.8315572,
2.1826312,
2.6004978,
3.1099254,
3.7207131,
4.4600399
],
[
0.1331527,
0.1914513,
0.2009007,
0.2118813,
0.2238804,
0.2389975,
0.2548319,
0.2757048,
0.3046391,
0.3379194,
0.3826991,
0.4351773,
0.4999103,
0.5819789,
0.6807943,
0.8009586,
0.9437805,
1.1153942,
1.3176259,
1.5595142,
1.8546449,
2.199948,
2.622552,
3.1251917,
3.7335122,
4.4681561
],
[
0.1462733,
0.208926,
0.217901,
0.2278678,
0.2406124,
0.2552193,
0.2752013,
0.2981019,
0.3242285,
0.3560276,
0.3980475,
0.451316,
0.5162648,
0.5974209,
0.6958651,
0.8155402,
0.9594104,
1.1313039,
1.3377287,
1.5821205,
1.8714854,
2.2235256,
2.6375122,
3.1486878,
3.7467953,
4.4737773
]
]
},
"timing_sense": "positive_unate"
}
}
}