blob: 4335135646d2c68a66470b3c73ef57591dc1fe97 [file] [log] [blame]
{
"area": 113.3088,
"cell_footprint": "sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon",
"cell_leakage_power": 0.06529906,
"comp_attribute,input_voltage_range": [
1.28,
1.95
],
"comp_attribute,output_voltage_range": [
1.65,
5.5
],
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"is_level_shifter": "true",
"leakage_power": [
{
"value": 0.0585936,
"when": "!A&SLEEP_B"
},
{
"value": 0.0585814,
"when": "!A&!SLEEP_B"
},
{
"value": 0.0655459,
"when": "A&SLEEP_B"
},
{
"value": 0.0784753,
"when": "A&!SLEEP_B"
}
],
"level_shifter_type": "LH",
"pg_pin,LVPWR": {
"pg_type": "primary_power",
"voltage_name": "LVPWR"
},
"pg_pin,VGND": {
"pg_type": "primary_ground",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "pwell",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "nwell",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"std_cell_main_rail": "true",
"voltage_name": "VPWR"
},
"pin,A": {
"capacitance": 0.002684,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.002591,
"input_signal_level": "LVPWR",
"internal_power": {
"fall_power,power_inputs_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.0356709,
0.0352863,
0.0348697,
0.0351738,
0.0361262,
0.0368141,
0.0381901,
0.0394319,
0.042893,
0.0446897,
0.0464863
]
},
"rise_power,power_inputs_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.0297787,
0.0294064,
0.029003,
0.029955,
0.0329357,
0.0358115,
0.041563,
0.0428822,
0.046559,
0.0484676,
0.0503763
]
}
},
"level_shifter_data_pin": "true",
"max_transition": 3.75,
"related_ground_pin": "VGND",
"related_power_pin": "LVPWR",
"rise_capacitance": 0.002776
},
"pin,SLEEP_B": {
"capacitance": 0.019994,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.020101,
"internal_power": {
"fall_power,power_inputs_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.0443896,
0.0436633,
0.0428765,
0.0428283,
0.0426783,
0.0456234,
0.0515136,
0.0588671,
0.0793616,
0.0900003,
0.1006391
]
},
"rise_power,power_inputs_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
-0.0038007,
-0.0044911,
-0.0052389,
-0.0055346,
-0.0064598,
-0.0036833,
0.0018698,
0.009205,
0.0296485,
0.0402608,
0.050873
]
}
},
"level_shifter_enable_pin": "true",
"max_transition": 3.75,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.019887
},
"pin,X": {
"direction": "output",
"function": "(A&SLEEP_B)",
"internal_power": [
{
"fall_power,power_outputs_3": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00130797,
0.00342157,
0.00895062,
0.0234143,
0.0612504,
0.160227
],
"values": [
[
0.089488,
0.0854834,
0.0761704,
0.0568244,
0.0086602,
-0.1217279,
-0.4670147
],
[
0.089468,
0.0855167,
0.0762377,
0.0570701,
0.0086814,
-0.1217522,
-0.4669538
],
[
0.0899,
0.085782,
0.076431,
0.0569901,
0.0087787,
-0.1214054,
-0.4665514
],
[
0.0892173,
0.0852115,
0.0757615,
0.0566524,
0.0082366,
-0.1221223,
-0.4673813
],
[
0.0879033,
0.0838522,
0.0746148,
0.0549778,
0.0067971,
-0.1236024,
-0.4687744
],
[
0.0873813,
0.0832758,
0.0739206,
0.0544771,
0.0062006,
-0.1241666,
-0.4694459
],
[
0.086474,
0.082368,
0.0730808,
0.0535957,
0.0057322,
-0.124771,
-0.4701076
],
[
0.0859464,
0.0817609,
0.0725844,
0.0530884,
0.0047367,
-0.1252213,
-0.4705017
],
[
0.0856339,
0.0816434,
0.0724076,
0.0528871,
0.0045414,
-0.1257833,
-0.4709985
],
[
0.0858883,
0.0820868,
0.0727216,
0.0531203,
0.0047741,
-0.1251846,
-0.4705914
],
[
0.0862855,
0.0822209,
0.0725841,
0.0532323,
0.0050774,
-0.1252,
-0.4702745
]
]
},
"related_pin": "A",
"rise_power,power_outputs_3": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00130797,
0.00342157,
0.00895062,
0.0234143,
0.0612504,
0.160227
],
"values": [
[
0.0331981,
0.0393209,
0.054835,
0.0910486,
0.1642814,
0.3029182,
0.6443332
],
[
0.0333849,
0.0394531,
0.0549192,
0.0912616,
0.1642667,
0.3029781,
0.6445731
],
[
0.0336668,
0.0397739,
0.0553368,
0.0914805,
0.1645315,
0.3031702,
0.6446267
],
[
0.0323463,
0.0384421,
0.0540274,
0.0902791,
0.1626547,
0.3014768,
0.6428332
],
[
0.0287882,
0.0347522,
0.0501589,
0.0858876,
0.1584268,
0.2968423,
0.6385146
],
[
0.0259358,
0.0320636,
0.0473881,
0.082851,
0.1551288,
0.2932081,
0.6347461
],
[
0.0246086,
0.0275029,
0.0417476,
0.0770325,
0.1487964,
0.2866916,
0.6279977
],
[
0.0379006,
0.0408083,
0.0484915,
0.0763672,
0.1477789,
0.2857175,
0.627096
],
[
0.0605787,
0.0635207,
0.0711842,
0.0909179,
0.1470482,
0.2847011,
0.6261182
],
[
0.0672248,
0.0701681,
0.0778344,
0.0976323,
0.1480812,
0.2845798,
0.6260699
],
[
0.0708381,
0.0737856,
0.0813887,
0.1010648,
0.15225,
0.284469,
0.6258864
]
]
}
},
{
"fall_power,power_outputs_3": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00130797,
0.00342157,
0.00895062,
0.0234143,
0.0612504,
0.160227
],
"values": [
[
0.2037216,
0.1996022,
0.190045,
0.1695732,
0.120615,
-0.0097691,
-0.354195
],
[
0.2023109,
0.198337,
0.1885844,
0.1682652,
0.1191899,
-0.0111285,
-0.3555499
],
[
0.199637,
0.1955903,
0.1858237,
0.1654809,
0.1164455,
-0.0139424,
-0.3583351
],
[
0.1854769,
0.1815061,
0.1717492,
0.1514424,
0.1023946,
-0.0279417,
-0.3724112
],
[
0.172015,
0.1677398,
0.1582917,
0.1379506,
0.0888609,
-0.0415733,
-0.3860264
],
[
0.1653456,
0.1612473,
0.1515074,
0.1311537,
0.0821215,
-0.0482458,
-0.3927511
],
[
0.1563313,
0.1523171,
0.1425135,
0.1221384,
0.0732013,
-0.0572765,
-0.4016962
],
[
0.1498873,
0.1457693,
0.1360017,
0.1156792,
0.0665978,
-0.0637538,
-0.4083243
],
[
0.1473159,
0.1430852,
0.1335146,
0.1131955,
0.0641087,
-0.0662994,
-0.4108814
],
[
0.1508304,
0.1467114,
0.1371232,
0.116569,
0.0676027,
-0.06284,
-0.4074039
],
[
0.1559624,
0.152001,
0.1422094,
0.1217923,
0.0727143,
-0.0577177,
-0.4022725
]
]
},
"related_pin": "SLEEP_B",
"rise_power,power_outputs_3": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00130797,
0.00342157,
0.00895062,
0.0234143,
0.0612504,
0.160227
],
"values": [
[
0.0464379,
0.0525903,
0.0681016,
0.1046077,
0.1775484,
0.3163102,
0.6577437
],
[
0.0464199,
0.0524277,
0.0679862,
0.1041793,
0.1775653,
0.3162458,
0.6575411
],
[
0.0464727,
0.0526784,
0.0683755,
0.1047608,
0.1777043,
0.3163642,
0.6579288
],
[
0.0457275,
0.0518879,
0.067548,
0.1038494,
0.1766454,
0.3152228,
0.6564909
],
[
0.0462493,
0.0521177,
0.067393,
0.102457,
0.174562,
0.3125024,
0.6542598
],
[
0.0446807,
0.0506139,
0.0652912,
0.0993193,
0.1701663,
0.3072624,
0.648805
],
[
0.0594491,
0.0623541,
0.0699707,
0.0968793,
0.16589,
0.3021854,
0.6436924
],
[
0.070122,
0.0729803,
0.0805768,
0.1001277,
0.1650276,
0.3013075,
0.6427561
],
[
0.0947096,
0.0975004,
0.1050071,
0.1248239,
0.1751205,
0.310326,
0.6520165
],
[
0.1041449,
0.1068962,
0.1145438,
0.1339436,
0.1848662,
0.3168051,
0.6584302
],
[
0.1120433,
0.1148444,
0.1221307,
0.1415535,
0.1927752,
0.3232221,
0.6650967
]
]
}
}
],
"max_capacitance": 0.160227,
"max_transition": 3.739279,
"power_down_function": "(!LVPWR+!VPWR+VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"cell_fall,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00130797,
0.00342157,
0.00895062,
0.0234143,
0.0612504,
0.160227
],
"values": [
[
1.6765611,
1.7094808,
1.7784761,
1.90876,
2.1515718,
2.5996738,
3.5054544
],
[
1.6805449,
1.7132054,
1.7821423,
1.9140505,
2.1573074,
2.6043103,
3.5112093
],
[
1.6859388,
1.7188883,
1.7877566,
1.9192714,
2.1623447,
2.6088154,
3.5152123
],
[
1.7038574,
1.7368149,
1.8057834,
1.9379731,
2.1807538,
2.6277824,
3.5346934
],
[
1.7655023,
1.7983839,
1.8672917,
1.9999578,
2.2425173,
2.68969,
3.5949019
],
[
1.8430865,
1.8754969,
1.9438784,
2.0763411,
2.3192073,
2.7661231,
3.6714705
],
[
1.9532054,
1.9861415,
2.0548254,
2.1872215,
2.4289146,
2.8758178,
3.7807486
],
[
2.0377833,
2.0703517,
2.1394317,
2.2707369,
2.5141391,
2.9599236,
3.8663437
],
[
2.2211324,
2.2552615,
2.322236,
2.4559312,
2.6978844,
3.1447269,
4.0507873
],
[
2.3062834,
2.3400419,
2.4085587,
2.5403779,
2.7815101,
3.2281464,
4.1342827
],
[
2.3821014,
2.4145994,
2.4831406,
2.6150833,
2.8569171,
3.3040295,
4.2094137
]
]
},
"cell_rise,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00130797,
0.00342157,
0.00895062,
0.0234143,
0.0612504,
0.160227
],
"values": [
[
0.2730484,
0.2903644,
0.330264,
0.4257041,
0.6677748,
1.2858687,
2.8692788
],
[
0.2770215,
0.2944504,
0.3343623,
0.4299552,
0.6722967,
1.2895599,
2.8732317
],
[
0.2820034,
0.2992842,
0.3391992,
0.4346121,
0.6766691,
1.2945359,
2.8783993
],
[
0.2999357,
0.3172992,
0.3572432,
0.4528823,
0.6941253,
1.3111268,
2.896108
],
[
0.3478645,
0.3651222,
0.4049651,
0.5005648,
0.7427027,
1.3596743,
2.9430136
],
[
0.3942761,
0.4115689,
0.4513969,
0.5470837,
0.7888415,
1.4056508,
2.9891916
],
[
0.4528254,
0.4701055,
0.5099345,
0.6052598,
0.8466113,
1.4620083,
3.0469283
],
[
0.4905166,
0.5076973,
0.5475629,
0.6428912,
0.883763,
1.5014991,
3.0845391
],
[
0.548822,
0.566079,
0.6058404,
0.7012569,
0.9423305,
1.5573612,
3.1425571
],
[
0.5648225,
0.5821649,
0.6218899,
0.7172912,
0.9590179,
1.5734967,
3.1586442
],
[
0.5743274,
0.5915905,
0.631285,
0.726596,
0.9676159,
1.5829961,
3.1676244
]
]
},
"fall_transition,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00130797,
0.00342157,
0.00895062,
0.0234143,
0.0612504,
0.160227
],
"values": [
[
0.1917384,
0.2145917,
0.2585093,
0.3487313,
0.5311345,
0.9234209,
1.9251889
],
[
0.1936356,
0.2158009,
0.2597997,
0.347692,
0.5309095,
0.9219461,
1.920847
],
[
0.1921653,
0.2132618,
0.2629186,
0.3485958,
0.5313568,
0.9242906,
1.923121
],
[
0.1918668,
0.2165319,
0.2595341,
0.348157,
0.5309798,
0.9219653,
1.9215354
],
[
0.19145,
0.2142298,
0.2602993,
0.3462667,
0.5306506,
0.9239394,
1.9198472
],
[
0.1911644,
0.2130976,
0.2620398,
0.3474124,
0.5305236,
0.9222955,
1.9213853
],
[
0.1909793,
0.2130989,
0.2587179,
0.3482724,
0.5312957,
0.9223668,
1.9228974
],
[
0.1913985,
0.2129781,
0.2593039,
0.3496665,
0.5302241,
0.9243659,
1.9228714
],
[
0.1910778,
0.2127505,
0.2591178,
0.349497,
0.5304702,
0.9216778,
1.9229469
],
[
0.1931795,
0.2156498,
0.2585769,
0.3473872,
0.5279948,
0.9242486,
1.9248112
],
[
0.1944793,
0.2155942,
0.258928,
0.3477794,
0.5305444,
0.9251313,
1.9242048
]
]
},
"related_pin": "A",
"rise_transition,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00130797,
0.00342157,
0.00895062,
0.0234143,
0.0612504,
0.160227
],
"values": [
[
0.0544319,
0.0717616,
0.1177634,
0.244788,
0.5832815,
1.4570155,
3.7295429
],
[
0.0542052,
0.071731,
0.1177385,
0.2448944,
0.583353,
1.4578991,
3.7322656
],
[
0.0543172,
0.071731,
0.1178469,
0.2446978,
0.5834416,
1.459082,
3.7338208
],
[
0.0543575,
0.0715249,
0.1176339,
0.244871,
0.5827837,
1.4569559,
3.7329788
],
[
0.0541291,
0.0716851,
0.1175786,
0.244442,
0.5833486,
1.4576776,
3.7342978
],
[
0.0541993,
0.07156,
0.1179232,
0.2443517,
0.5832387,
1.4558548,
3.7331653
],
[
0.0543916,
0.0713848,
0.1173507,
0.2445592,
0.5822025,
1.4545538,
3.7364609
],
[
0.0542648,
0.0714905,
0.1175911,
0.2443982,
0.583345,
1.4549364,
3.7289629
],
[
0.0544234,
0.0716517,
0.1175385,
0.2444467,
0.582319,
1.4558365,
3.7360355
],
[
0.0542237,
0.0717695,
0.1176179,
0.2444491,
0.5823119,
1.4548772,
3.7322443
],
[
0.0546454,
0.07169,
0.1176081,
0.2443933,
0.5821829,
1.4568889,
3.7304915
]
]
},
"timing_sense": "positive_unate",
"timing_type": "combinational"
},
{
"cell_fall,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00130797,
0.00342157,
0.00895062,
0.0234143,
0.0612504,
0.160227
],
"values": [
[
3.9103805,
3.9447174,
4.0180077,
4.1562602,
4.4104644,
4.8766364,
5.8089591
],
[
3.8946406,
3.9296744,
4.0019312,
4.1408108,
4.3948103,
4.8613766,
5.793845
],
[
3.8545012,
3.8894452,
3.9621932,
4.1010395,
4.3550004,
4.8216586,
5.7542109
],
[
3.6704815,
3.7054131,
3.7778825,
3.9168668,
4.1709596,
4.6375153,
5.5702358
],
[
3.4938512,
3.528053,
3.6012007,
3.7396424,
3.9944523,
4.4604129,
5.3925984
],
[
3.4411274,
3.4757712,
3.5486463,
3.687461,
3.9414361,
4.4077324,
5.340095
],
[
3.3663714,
3.401206,
3.4739605,
3.6129089,
3.8667105,
4.333212,
5.2654885
],
[
3.3312666,
3.365872,
3.4386611,
3.5772052,
3.8311434,
4.2973918,
5.2298366
],
[
3.4447267,
3.4793171,
3.5522325,
3.6906558,
3.9442778,
4.4105612,
5.3429368
],
[
3.5723686,
3.6076733,
3.6793286,
3.818299,
4.0721764,
4.5389577,
5.4709131
],
[
3.7226844,
3.7576622,
3.8302623,
3.9687151,
4.2229975,
4.6898272,
5.6217924
]
]
},
"cell_rise,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00130797,
0.00342157,
0.00895062,
0.0234143,
0.0612504,
0.160227
],
"values": [
[
0.1979429,
0.2151765,
0.2550705,
0.3508277,
0.5926714,
1.2104554,
2.7943488
],
[
0.1998439,
0.2171064,
0.2569966,
0.3525669,
0.5940859,
1.2123363,
2.7961455
],
[
0.2033508,
0.2205722,
0.2605424,
0.3560885,
0.5976245,
1.2144301,
2.799611
],
[
0.2150688,
0.2322984,
0.272256,
0.3678218,
0.6092146,
1.2252395,
2.8111866
],
[
0.2264364,
0.2438671,
0.283829,
0.3790507,
0.6211659,
1.2369671,
2.821092
],
[
0.2163134,
0.2339356,
0.2742501,
0.3709216,
0.6118262,
1.2289892,
2.811964
],
[
0.1740876,
0.1920794,
0.2329913,
0.329147,
0.570965,
1.1860868,
2.7706346
],
[
0.117072,
0.1353614,
0.1767502,
0.2730509,
0.5146661,
1.1311369,
2.7166798
],
[
-0.0780396,
-0.058036,
-0.0146812,
0.0831393,
0.3240799,
0.943545,
2.5333453
],
[
-0.1911858,
-0.1704242,
-0.1258546,
-0.0264083,
0.2148863,
0.8354951,
2.4265348
],
[
-0.3101282,
-0.2890337,
-0.2432829,
-0.1424845,
0.0997989,
0.7212052,
2.3138371
]
]
},
"fall_transition,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00130797,
0.00342157,
0.00895062,
0.0234143,
0.0612504,
0.160227
],
"values": [
[
0.2154592,
0.2439507,
0.2877339,
0.380457,
0.5661951,
0.962971,
1.9637374
],
[
0.2200669,
0.2407571,
0.2888755,
0.381119,
0.5662518,
0.9632587,
1.9661761
],
[
0.2187784,
0.2405912,
0.2892958,
0.3787442,
0.5673468,
0.9630327,
1.9693847
],
[
0.220282,
0.2407777,
0.2887985,
0.3794927,
0.5669869,
0.9634712,
1.9623212
],
[
0.2166363,
0.2420148,
0.2883989,
0.3794074,
0.5662973,
0.9626061,
1.9678479
],
[
0.2148894,
0.2403051,
0.2886694,
0.37938,
0.5667134,
0.9616574,
1.9626516
],
[
0.2193115,
0.2406593,
0.2888707,
0.3791261,
0.5669046,
0.9617804,
1.9695932
],
[
0.2158039,
0.240314,
0.2890156,
0.3782856,
0.5657687,
0.9633296,
1.9623254
],
[
0.2148877,
0.244017,
0.2887916,
0.3786166,
0.5665347,
0.9632894,
1.9621536
],
[
0.2166832,
0.2393632,
0.2896375,
0.38107,
0.5650668,
0.965969,
1.9671071
],
[
0.2204453,
0.2421241,
0.2911444,
0.3800493,
0.5658286,
0.966048,
1.9626367
]
]
},
"related_pin": "SLEEP_B",
"rise_transition,del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00130797,
0.00342157,
0.00895062,
0.0234143,
0.0612504,
0.160227
],
"values": [
[
0.0542608,
0.0715432,
0.117529,
0.2447797,
0.5841363,
1.4586745,
3.7356775
],
[
0.0542932,
0.0715548,
0.1175902,
0.2449358,
0.5832771,
1.4579618,
3.7261874
],
[
0.0541893,
0.0715682,
0.1178063,
0.2450194,
0.58446,
1.4573116,
3.7336494
],
[
0.0541756,
0.0715416,
0.1177866,
0.2450253,
0.5842811,
1.456463,
3.7359058
],
[
0.0557259,
0.0726631,
0.1183036,
0.2445755,
0.5828104,
1.4570131,
3.7286818
],
[
0.0561694,
0.0737026,
0.1199005,
0.2462156,
0.5827253,
1.4561768,
3.7292986
],
[
0.057811,
0.0753126,
0.1206572,
0.2465777,
0.5853176,
1.4517826,
3.7323424
],
[
0.0597412,
0.0772273,
0.1224666,
0.2467056,
0.5837836,
1.4579564,
3.7370671
],
[
0.0654491,
0.0835108,
0.1286631,
0.2505155,
0.5848581,
1.4609699,
3.7299297
],
[
0.0685316,
0.0872236,
0.1326033,
0.2529462,
0.5856509,
1.4607487,
3.7370502
],
[
0.0713467,
0.0906762,
0.1368887,
0.2555031,
0.5865188,
1.4643917,
3.7392791
]
]
},
"timing_sense": "positive_unate",
"timing_type": "combinational"
}
]
}
}