blob: 5ed0b79eaeebeb819d020084026974e0435fb230 [file] [log] [blame]
{
"area": 113.3088,
"cell_footprint": "lsbuflv2hv_isosrchvaon",
"cell_leakage_power": 2741.242,
"comp_attribute input_voltage_range": [
1.28,
1.95
],
"comp_attribute output_voltage_range": [
1.65,
5.5
],
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"is_level_shifter": "true",
"leakage_power": [
{
"value": 2741.7574984,
"when": "!A&SLEEP_B"
},
{
"value": 2741.5815111,
"when": "!A&!SLEEP_B"
},
{
"value": 2742.4014206,
"when": "A&SLEEP_B"
},
{
"value": 2739.2277389,
"when": "A&!SLEEP_B"
}
],
"level_shifter_type": "LH",
"pg_pin LVPWR": {
"pg_type": "primary_power",
"voltage_name": "LVPWR"
},
"pg_pin VGND": {
"pg_type": "primary_ground",
"voltage_name": "VGND"
},
"pg_pin VNB": {
"pg_type": "pwell",
"voltage_name": "VNB"
},
"pg_pin VPB": {
"pg_type": "nwell",
"voltage_name": "VPB"
},
"pg_pin VPWR": {
"pg_type": "primary_power",
"std_cell_main_rail": "true",
"voltage_name": "VPWR"
},
"pin A": {
"capacitance": 0.002742,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.002688,
"input_signal_level": "LVPWR",
"internal_power": {
"fall_power power_inputs_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.0450284,
0.0395395,
0.0340097,
0.0310065,
0.0322554,
0.0373972,
0.0526798,
0.0696088,
0.1257238,
0.1524492,
0.1791746
]
},
"rise_power power_inputs_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.0647895,
0.0587636,
0.0526525,
0.0526133,
0.0631495,
0.0726635,
0.0966947,
0.1138051,
0.1704335,
0.1974234,
0.2244132
]
},
"when": "!SLEEP_B"
},
"level_shifter_data_pin": "true",
"max_transition": 3.75,
"related_ground_pin": "VGND",
"related_power_pin": "LVPWR",
"rise_capacitance": 0.002795
},
"pin SLEEP_B": {
"capacitance": 0.021244,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.021271,
"internal_power": {
"fall_power power_inputs_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
0.1939325,
0.1919992,
0.1903218,
0.1990042,
0.2368442,
0.3212531,
0.4950745,
0.6770533,
1.1931766,
1.4586915,
1.7242063
]
},
"rise_power power_inputs_1": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.7499999
],
"values": [
-0.0295407,
-0.0350989,
-0.0407032,
-0.0338313,
-0.00166,
0.0811737,
0.2518446,
0.4334868,
0.9486718,
1.2136997,
1.4787275
]
},
"when": "!A"
},
"level_shifter_enable_pin": "true",
"max_transition": 3.75,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.021217
},
"pin X": {
"direction": "output",
"function": "(A&SLEEP_B)",
"internal_power": [
{
"fall_power power_outputs_4": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00145519,
0.00423513,
0.0123258,
0.0358726,
0.104403,
0.303851
],
"values": [
[
0.5879713,
0.5652406,
0.5024947,
0.3420037,
-0.0504071,
-1.1238813,
-4.1691926
],
[
0.5940119,
0.5697934,
0.5075826,
0.3471536,
-0.0449031,
-1.1195521,
-4.1644129
],
[
0.5990655,
0.5752942,
0.5130687,
0.3521796,
-0.0400006,
-1.1137765,
-4.1585808
],
[
0.601713,
0.5784602,
0.5160895,
0.3560242,
-0.0358726,
-1.1107116,
-4.1552745
],
[
0.6038664,
0.5806985,
0.518494,
0.3581155,
-0.0335305,
-1.108644,
-4.1528178
],
[
0.6071944,
0.5837905,
0.5206552,
0.3605777,
-0.0312786,
-1.1057595,
-4.1502344
],
[
0.6078021,
0.5841057,
0.5214528,
0.3607037,
-0.0314455,
-1.1058301,
-4.1494373
],
[
0.6080094,
0.5845247,
0.5206172,
0.3612733,
-0.031264,
-1.1055726,
-4.1507557
],
[
0.6024367,
0.57909,
0.5163024,
0.3568615,
-0.0358121,
-1.1091075,
-4.1546255
],
[
0.6148001,
0.590898,
0.5270528,
0.3622245,
-0.0352311,
-1.1092654,
-4.1543033
],
[
0.6275075,
0.6038678,
0.5399263,
0.3753913,
-0.0346225,
-1.1089753,
-4.1534515
]
]
},
"related_pin": "A",
"rise_power power_outputs_4": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00145519,
0.00423513,
0.0123258,
0.0358726,
0.104403,
0.303851
],
"values": [
[
0.2394493,
0.2562413,
0.3264128,
0.5270523,
0.933065,
1.9576788,
4.9420334
],
[
0.244821,
0.2618647,
0.3319532,
0.5327723,
0.9388441,
1.9632987,
4.9440222
],
[
0.2505103,
0.2674423,
0.3363937,
0.5373315,
0.9438964,
1.9682876,
4.9496792
],
[
0.2505919,
0.2683179,
0.3373264,
0.5391199,
0.9456254,
1.9694542,
4.953774
],
[
0.2436425,
0.260026,
0.3287336,
0.5299174,
0.9372143,
1.9621134,
4.9459774
],
[
0.2403438,
0.2577747,
0.3282759,
0.5267831,
0.9344419,
1.9593205,
4.9444797
],
[
0.2398301,
0.2487687,
0.317896,
0.517703,
0.9256893,
1.9490236,
4.9332616
],
[
0.3283957,
0.3376233,
0.3704279,
0.5170373,
0.9233366,
1.9472597,
4.9310435
],
[
0.411189,
0.4200661,
0.4513835,
0.5626127,
0.9105531,
1.934565,
4.9183347
],
[
0.4160012,
0.4246759,
0.4570952,
0.566976,
0.9074722,
1.9311653,
4.9116446
],
[
0.4139489,
0.4226754,
0.4543609,
0.5653168,
0.9100777,
1.9282438,
4.9123446
]
]
}
},
{
"fall_power power_outputs_4": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00145519,
0.00423513,
0.0123258,
0.0358726,
0.104403,
0.303851
],
"values": [
[
0.8229961,
0.799413,
0.7349094,
0.5725597,
0.1742263,
-0.9038994,
-3.949475
],
[
0.8328972,
0.8086941,
0.745198,
0.5823002,
0.1843067,
-0.8934571,
-3.9399275
],
[
0.832834,
0.8088472,
0.7453279,
0.582597,
0.1842261,
-0.8939623,
-3.939263
],
[
0.83216,
0.8089207,
0.744903,
0.5825809,
0.1845767,
-0.8928155,
-3.9395413
],
[
0.8326472,
0.8091277,
0.7471188,
0.5837179,
0.1853911,
-0.8920921,
-3.9378747
],
[
0.7979931,
0.7738782,
0.7103901,
0.5480851,
0.1494452,
-0.9274882,
-3.9739399
],
[
0.8486628,
0.8242464,
0.7610743,
0.5984908,
0.1997975,
-0.8778836,
-3.9244708
],
[
0.9280778,
0.9041618,
0.8401079,
0.6779147,
0.2794993,
-0.7971031,
-3.8442994
],
[
1.1675181,
1.1436688,
1.0800445,
0.9167312,
0.517061,
-0.5620039,
-3.6086224
],
[
1.306979,
1.2821603,
1.2192794,
1.0550083,
0.6536264,
-0.4271142,
-3.4758588
],
[
1.4449771,
1.421676,
1.3575263,
1.1927677,
0.789806,
-0.2930323,
-3.3418531
]
]
},
"related_pin": "SLEEP_B",
"rise_power power_outputs_4": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00145519,
0.00423513,
0.0123258,
0.0358726,
0.104403,
0.303851
],
"values": [
[
0.2846094,
0.3023221,
0.3709349,
0.5703118,
0.978615,
2.0025966,
4.9866721
],
[
0.2918483,
0.3082378,
0.3781239,
0.5780364,
0.9842206,
2.0087778,
4.9896208
],
[
0.2956474,
0.3116195,
0.3815061,
0.582372,
0.9872556,
2.0125687,
4.9934977
],
[
0.2936377,
0.3111716,
0.3817806,
0.5819239,
0.9884111,
2.0125364,
4.9958255
],
[
0.3130738,
0.3300877,
0.3999641,
0.5986131,
1.0043317,
2.0269843,
5.0103253
],
[
0.3069775,
0.3239461,
0.3918744,
0.5853765,
0.9872706,
2.0108597,
4.9945738
],
[
0.4470649,
0.4561745,
0.4886672,
0.6094103,
1.0285804,
2.0530153,
5.0321511
],
[
0.5778245,
0.5865534,
0.6190025,
0.730249,
1.1110833,
2.136763,
5.116167
],
[
0.8719154,
0.8803307,
0.9125057,
1.0201124,
1.3624514,
2.3809305,
5.3610379
],
[
1.0117542,
1.0206242,
1.0515422,
1.1594548,
1.5016169,
2.5142805,
5.4948954
],
[
1.1515588,
1.1597322,
1.1902186,
1.2974027,
1.636022,
2.6491232,
5.629596
]
]
}
}
],
"max_capacitance": 0.303851,
"max_transition": 5.008064,
"power_down_function": "(!LVPWR+!VPWR+VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"cell_fall del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00145519,
0.00423513,
0.0123258,
0.0358726,
0.104403,
0.303851
],
"values": [
[
1.0621581,
1.0855489,
1.1410199,
1.2620569,
1.5191884,
2.0766904,
3.4981591
],
[
1.0650594,
1.088566,
1.143787,
1.265328,
1.5214806,
2.0798463,
3.5003902
],
[
1.0692238,
1.092707,
1.1475378,
1.2691208,
1.525441,
2.0841719,
3.5045171
],
[
1.0848794,
1.1081684,
1.1633939,
1.2845288,
1.5413305,
2.0993352,
3.5204215
],
[
1.1271916,
1.1505327,
1.2057897,
1.3269883,
1.5835856,
2.1413071,
3.5626206
],
[
1.1733555,
1.196777,
1.2519508,
1.373183,
1.6298981,
2.1878686,
3.6089502
],
[
1.2424304,
1.265955,
1.320962,
1.4423148,
1.6974933,
2.2565378,
3.6788808
],
[
1.3035742,
1.3270305,
1.3823765,
1.5034282,
1.7605526,
2.3180673,
3.7395727
],
[
1.4555908,
1.4791156,
1.5340333,
1.6557412,
1.9121218,
2.469793,
3.8912744
],
[
1.5274714,
1.5505371,
1.6062246,
1.7279059,
1.983675,
2.5419951,
3.9641241
],
[
1.5953555,
1.6188386,
1.6735624,
1.7954112,
2.0520374,
2.6095139,
4.0313224
]
]
},
"cell_rise del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00145519,
0.00423513,
0.0123258,
0.0358726,
0.104403,
0.303851
],
"values": [
[
0.3470175,
0.3640524,
0.4037858,
0.4992644,
0.7563244,
1.494708,
3.6291004
],
[
0.3499219,
0.3668603,
0.4066499,
0.5022156,
0.7591773,
1.4982727,
3.6316432
],
[
0.3531136,
0.3699264,
0.4095269,
0.5054814,
0.7620743,
1.5007861,
3.6358561
],
[
0.3667367,
0.3838478,
0.4228596,
0.5192272,
0.7771919,
1.5153092,
3.6483678
],
[
0.3947846,
0.4115992,
0.4508247,
0.5464055,
0.8038198,
1.5423036,
3.676571
],
[
0.4158801,
0.4331505,
0.4732123,
0.5680402,
0.8253443,
1.5636293,
3.6989667
],
[
0.4371412,
0.4540312,
0.4936174,
0.588868,
0.8477762,
1.585641,
3.7188141
],
[
0.4461516,
0.4634088,
0.5031147,
0.598694,
0.8552345,
1.5946598,
3.7281462
],
[
0.445809,
0.4629442,
0.5020145,
0.598289,
0.8549779,
1.5936722,
3.726663
],
[
0.4384133,
0.4558458,
0.4956745,
0.5909733,
0.8471706,
1.5865806,
3.7211429
],
[
0.4277444,
0.4449848,
0.484479,
0.5804492,
0.8370271,
1.5765488,
3.7109611
]
]
},
"fall_transition del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00145519,
0.00423513,
0.0123258,
0.0358726,
0.104403,
0.303851
],
"values": [
[
0.1853886,
0.2062676,
0.2497788,
0.3431436,
0.5585544,
1.1485199,
2.9938325
],
[
0.186047,
0.205427,
0.2490368,
0.3440583,
0.5570131,
1.1530862,
3.0008247
],
[
0.1850372,
0.2074203,
0.2506255,
0.3428819,
0.5572482,
1.150077,
3.0002718
],
[
0.186462,
0.2049188,
0.2510475,
0.3429231,
0.5570245,
1.1505336,
2.9930127
],
[
0.1858856,
0.2048413,
0.2515879,
0.3437019,
0.5571049,
1.1509278,
3.000727
],
[
0.1855908,
0.2048665,
0.2507543,
0.3438167,
0.557055,
1.150479,
2.9929688
],
[
0.1854492,
0.2070428,
0.2499167,
0.343311,
0.5559899,
1.1496817,
3.0010874
],
[
0.1849483,
0.2066428,
0.2487243,
0.3458068,
0.5584714,
1.1484291,
2.9936278
],
[
0.1853603,
0.2052647,
0.2518013,
0.342696,
0.5539139,
1.1495344,
3.0018519
],
[
0.1856965,
0.2063444,
0.2492253,
0.3441382,
0.5572399,
1.147959,
2.9994232
],
[
0.1868928,
0.2047347,
0.2528325,
0.3461466,
0.5569807,
1.1488631,
3.0010419
]
]
},
"related_pin": "A",
"rise_transition del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00145519,
0.00423513,
0.0123258,
0.0358726,
0.104403,
0.303851
],
"values": [
[
0.077137,
0.0920573,
0.1322116,
0.2503835,
0.6213413,
1.727707,
4.9576263
],
[
0.0768229,
0.0910983,
0.1320527,
0.2502104,
0.6222514,
1.7287275,
4.9535998
],
[
0.077125,
0.0924026,
0.1321825,
0.2495559,
0.6213641,
1.7274752,
4.9558899
],
[
0.076449,
0.0915083,
0.1318763,
0.2496691,
0.6218936,
1.7266452,
4.951644
],
[
0.0770625,
0.0911929,
0.132349,
0.2494606,
0.6213844,
1.7282953,
4.9572053
],
[
0.0765375,
0.0917784,
0.1318581,
0.2495724,
0.6214293,
1.7281792,
4.953038
],
[
0.0762482,
0.0911538,
0.1315923,
0.2497154,
0.6208597,
1.7285868,
4.9591331
],
[
0.0773806,
0.0924502,
0.1323729,
0.2502926,
0.6220487,
1.72894,
4.9525175
],
[
0.0771476,
0.0917437,
0.1321499,
0.2510095,
0.6212343,
1.7279153,
4.9514126
],
[
0.0767396,
0.0918205,
0.1323608,
0.2509991,
0.6220735,
1.7249208,
4.9589546
],
[
0.0774786,
0.0914714,
0.1314511,
0.2499602,
0.6211933,
1.7252406,
4.9551625
]
]
},
"timing_sense": "positive_unate",
"timing_type": "combinational"
},
{
"cell_fall del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00145519,
0.00423513,
0.0123258,
0.0358726,
0.104403,
0.303851
],
"values": [
[
1.4965988,
1.5202438,
1.5767476,
1.7006797,
1.9615116,
2.5284188,
3.9596801
],
[
1.5012316,
1.524981,
1.5814339,
1.7059238,
1.9659501,
2.5328148,
3.9643884
],
[
1.5036721,
1.5279114,
1.5835849,
1.7075585,
1.9691853,
2.5359972,
3.9674961
],
[
1.5147652,
1.5390261,
1.5950991,
1.7194358,
1.9801054,
2.5472537,
3.9776609
],
[
1.5184451,
1.5427597,
1.5986974,
1.722908,
1.984922,
2.5514819,
3.9822714
],
[
1.4608127,
1.4845344,
1.5415433,
1.6650398,
1.9262572,
2.4932627,
3.9246606
],
[
1.6049103,
1.6288753,
1.6854459,
1.8094657,
2.0704309,
2.6376599,
4.0683168
],
[
1.8211321,
1.8450985,
1.9024582,
2.0258772,
2.287043,
2.8559641,
4.2849249
],
[
2.4548636,
2.4788556,
2.5359792,
2.6596394,
2.920534,
3.4875709,
4.9183062
],
[
2.7864007,
2.8105758,
2.8673695,
2.9918241,
3.2536538,
3.8206003,
5.2514229
],
[
3.1112994,
3.1357139,
3.1935454,
3.3204028,
3.5846828,
4.1531485,
5.5855609
]
]
},
"cell_rise del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00145519,
0.00423513,
0.0123258,
0.0358726,
0.104403,
0.303851
],
"values": [
[
0.3016453,
0.3188715,
0.3583732,
0.4530727,
0.7106713,
1.4496457,
3.5829226
],
[
0.3030318,
0.319974,
0.359256,
0.4551232,
0.7115202,
1.4503219,
3.5854313
],
[
0.3049686,
0.3218854,
0.3610416,
0.4569894,
0.7128407,
1.4532181,
3.5877497
],
[
0.3061354,
0.322988,
0.3629717,
0.4582664,
0.7160196,
1.4544506,
3.5873375
],
[
0.273206,
0.290392,
0.3297287,
0.4241593,
0.6807114,
1.4185491,
3.5507879
],
[
0.181926,
0.1992611,
0.2396152,
0.3357858,
0.5931638,
1.3308111,
3.4625107
],
[
-0.0057777,
0.0115428,
0.0514338,
0.1470862,
0.404302,
1.1477985,
3.2812604
],
[
-0.2014966,
-0.1843879,
-0.1433959,
-0.0479677,
0.2096108,
0.9602145,
3.096265
],
[
-0.7724325,
-0.7539968,
-0.7126478,
-0.6168952,
-0.360251,
0.3987418,
2.552937
],
[
-1.0768556,
-1.0590486,
-1.0167399,
-0.9204656,
-0.6623225,
0.0955457,
2.2618976
],
[
-1.3848257,
-1.3663004,
-1.3238749,
-1.2269931,
-0.970773,
-0.2112513,
1.966608
]
]
},
"fall_transition del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00145519,
0.00423513,
0.0123258,
0.0358726,
0.104403,
0.303851
],
"values": [
[
0.1989762,
0.2209023,
0.2679003,
0.3631368,
0.5768546,
1.1691226,
3.0153644
],
[
0.1989947,
0.2232099,
0.2675609,
0.364068,
0.576655,
1.1691985,
3.0114091
],
[
0.1989452,
0.2192297,
0.2692052,
0.3636632,
0.5782336,
1.1684065,
3.0063483
],
[
0.1986232,
0.2194739,
0.2677604,
0.3639809,
0.5784704,
1.1694522,
3.0086957
],
[
0.1987402,
0.2191902,
0.2693191,
0.3631306,
0.5789366,
1.1684645,
3.0171623
],
[
0.2016856,
0.2201758,
0.2666586,
0.3649385,
0.5778704,
1.1700287,
3.0173084
],
[
0.2011541,
0.2193566,
0.2662017,
0.3635136,
0.576372,
1.1698418,
3.0090821
],
[
0.1995583,
0.2193338,
0.2661972,
0.3656612,
0.5779759,
1.1697656,
3.0176042
],
[
0.2063545,
0.2251397,
0.2709878,
0.3651084,
0.5788494,
1.171243,
3.0168632
],
[
0.2102882,
0.2302509,
0.2754691,
0.3719443,
0.5857403,
1.172796,
3.0079197
],
[
0.2174962,
0.2368563,
0.2820481,
0.3794366,
0.590578,
1.1748779,
3.0134326
]
]
},
"related_pin": "SLEEP_B",
"rise_transition del_1_11_7": {
"index_1": [
0.001,
0.013,
0.026,
0.072,
0.216,
0.432,
0.864,
1.296,
2.5,
3.125,
3.75
],
"index_2": [
0.0005,
0.00145519,
0.00423513,
0.0123258,
0.0358726,
0.104403,
0.303851
],
"values": [
[
0.0768178,
0.0929914,
0.1322368,
0.2496576,
0.6211722,
1.7287458,
4.9565037
],
[
0.0763077,
0.09116,
0.1322069,
0.2495554,
0.6213102,
1.7275585,
4.9542805
],
[
0.0766935,
0.0911686,
0.1320079,
0.2502183,
0.6210086,
1.7264011,
4.9587938
],
[
0.0769979,
0.0919546,
0.1321546,
0.2503655,
0.6213169,
1.7286788,
4.9538205
],
[
0.078011,
0.0926885,
0.1330644,
0.2505294,
0.6210392,
1.7287628,
4.9669828
],
[
0.0799294,
0.095433,
0.1345542,
0.2525,
0.6222838,
1.7261295,
4.9531139
],
[
0.0797454,
0.0946382,
0.1347696,
0.2536768,
0.6257648,
1.732724,
4.9523678
],
[
0.082546,
0.0965436,
0.1370833,
0.2536265,
0.6291709,
1.7411774,
4.9526414
],
[
0.0861973,
0.1018598,
0.1412789,
0.2574288,
0.631021,
1.7616957,
4.9743001
],
[
0.0892622,
0.1055069,
0.1440471,
0.2598444,
0.6331849,
1.770272,
4.9878079
],
[
0.0919064,
0.1072119,
0.1462273,
0.2618248,
0.6342202,
1.7754632,
5.0080642
]
]
},
"timing_sense": "positive_unate",
"timing_type": "combinational"
}
]
}
}