blob: f0b47a047d2a66d9e43c21d18325fd616f89d9dc [file] [log] [blame]
<HTML><BODY TEXT="#000000" LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
<TR align=center><th align=left><H1><font size=+3>SKY130_FD_SC_HS__DLYGATE4SDx</font></H1></th>
<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_fd_sc_hs_tt_1.80v_150C.ccs Cell Library: Process , Voltage 1.80, Temp 150.00 </A></em></h5></th></TR>
</TABLE>
<HR>
<BR>
<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
<TR valign=middle><TH colspan=1><font size=3>INPUT</font></TH>
<TH colspan=1><font size=3>OUTPUT</font></TH>
<TR><Th>A</Th><Th>X</Th></TR>
<TR><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th></TR>
<TR><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th></TR>
</TABLE>
</TR></TABLE>
<BR>
<FONT SIZE=+2><B>Footprint</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
<TR><TH><FONT>sky130_fd_sc_hs__dlygate4sd1_1</FONT></TH>
<TD align=center>12.78720</TD></TR>
<TR><TH><FONT>sky130_fd_sc_hs__dlygate4sd2_1</FONT></TH>
<TD align=center>12.78720</TD></TR>
<TR><TH><FONT>sky130_fd_sc_hs__dlygate4sd3_1</FONT></TH>
<TD align=center>12.78720</TD></TR>
</TABLE>
<BR>
<BR>
<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH>
<TH colspan=1>Pin Cap(pf) </TH>
<TH colspan=1>Max Cap(pf) </TH>
</TR>
<TR>
<TH>A</TH>
<TH>X</TH>
</TR>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd1_1</font></Th>
<TD align=center>0.00186</TD>
<TD align=center>1.31508</TD>
</TR>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd2_1</font></Th>
<TD align=center>0.00187</TD>
<TD align=center>1.31262</TD>
</TR>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd3_1</font></Th>
<TD align=center>0.00189</TD>
<TD align=center>1.30198</TD>
</TR>
</TABLE>
<BR>
<BR>
<FONT SIZE=+2><B>Leakage Information</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd1_1</font></Th>
<TD align=center>0.00000</TD>
<TD align=center>34.94060</TD>
<TD align=center>55.59850</TD>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd2_1</font></Th>
<TD align=center>0.00000</TD>
<TD align=center>30.09690</TD>
<TD align=center>50.75760</TD>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd3_1</font></Th>
<TD align=center>0.00000</TD>
<TD align=center>30.04180</TD>
<TD align=center>50.72260</TD>
</TABLE>
<BR>
<!--NewPage-->
<BR>
<FONT SIZE=+2><B>Delay Information</B></FONT>
<BR>
<BR>
<FONT><B>Delay(ns) to X rising :</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
<BR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd1_1</TH>
<Th><font>A->X (RR)</font></Th>
<TD align=center>0.14795</TD>
<TD align=center>0.58646</TD>
<TD align=center>5.90108</TD>
</TR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd2_1</TH>
<Th><font>A->X (RR)</font></Th>
<TD align=center>0.18698</TD>
<TD align=center>0.63628</TD>
<TD align=center>6.01000</TD>
</TR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd3_1</TH>
<Th><font>A->X (RR)</font></Th>
<TD align=center>0.30904</TD>
<TD align=center>0.77947</TD>
<TD align=center>6.23892</TD>
</TR>
</TABLE>
<BR>
<BR>
<FONT><B>Delay(ns) to X falling :</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
<BR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd1_1</TH>
<Th><font>A->X (FF)</font></Th>
<TD align=center>0.17558</TD>
<TD align=center>0.60543</TD>
<TD align=center>5.35777</TD>
</TR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd2_1</TH>
<Th><font>A->X (FF)</font></Th>
<TD align=center>0.21643</TD>
<TD align=center>0.65932</TD>
<TD align=center>5.41328</TD>
</TR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd3_1</TH>
<Th><font>A->X (FF)</font></Th>
<TD align=center>0.32050</TD>
<TD align=center>0.80184</TD>
<TD align=center>5.57939</TD>
</TR>
</TABLE>
<BR>
<!--NewPage-->
<BR>
<FONT SIZE=+2><B>Power Information</B></FONT>
<BR>
<BR>
<FONT><B>Internal switching power(pJ) to X rising :</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd1_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.01456</TD>
<TD align=center>0.01692</TD>
<TD align=center>0.06462</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.01156</TD>
<TD align=center>0.01394</TD>
<TD align=center>0.06159</TD>
</TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd2_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.01514</TD>
<TD align=center>0.01724</TD>
<TD align=center>0.06291</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.01212</TD>
<TD align=center>0.01422</TD>
<TD align=center>0.06023</TD>
</TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd3_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.01759</TD>
<TD align=center>0.01945</TD>
<TD align=center>0.06341</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.01455</TD>
<TD align=center>0.01640</TD>
<TD align=center>0.06070</TD>
</TR>
</TABLE>
<BR>
<BR>
<FONT><B>Internal switching power(pJ) to X falling :</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd1_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.01167</TD>
<TD align=center>0.01441</TD>
<TD align=center>0.06158</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.01468</TD>
<TD align=center>0.01740</TD>
<TD align=center>0.06395</TD>
</TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd2_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.01237</TD>
<TD align=center>0.01463</TD>
<TD align=center>0.05943</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.01540</TD>
<TD align=center>0.01764</TD>
<TD align=center>0.06228</TD>
</TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd3_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.01548</TD>
<TD align=center>0.01683</TD>
<TD align=center>0.05967</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.01854</TD>
<TD align=center>0.01987</TD>
<TD align=center>0.06251</TD>
</TR>
</TABLE>
<BR>
<DIV class=NOPRINT>
<HR>
<TABLE BORDER=0 WIDTH=100%>
<TR>
<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="SKY130_FD_SC_HS__DLXTP.html">Prev (SKY130_FD_SC_HS__DLXTP)</A></em></TD></TABLE>
<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="SKY130_FD_SC_HS__EBUFNx.html">Next (SKY130_FD_SC_HS__EBUFNx)</A></em></TD></TABLE>
</TR></TABLE>
</DIV>
</BODY>
</HTML>