blob: 78c435540bbf17aaf49da37ba0cd14b2b9aa97d1 [file] [log] [blame]
<HTML><BODY TEXT="#000000" LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
<TR align=center><th align=left><H1><font size=+3>SKY130_FD_SC_HS__DLYGATE4SDx</font></H1></th>
<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_fd_sc_hs_tt_1.80v_100C.ccs Cell Library: Process , Voltage 1.80, Temp 100.00 </A></em></h5></th></TR>
</TABLE>
<HR>
<BR>
<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
<TR valign=middle><TH colspan=1><font size=3>INPUT</font></TH>
<TH colspan=1><font size=3>OUTPUT</font></TH>
<TR><Th>A</Th><Th>X</Th></TR>
<TR><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th></TR>
<TR><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th></TR>
</TABLE>
</TR></TABLE>
<BR>
<FONT SIZE=+2><B>Footprint</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
<TR><TH><FONT>sky130_fd_sc_hs__dlygate4sd1_1</FONT></TH>
<TD align=center>12.78720</TD></TR>
<TR><TH><FONT>sky130_fd_sc_hs__dlygate4sd2_1</FONT></TH>
<TD align=center>12.78720</TD></TR>
<TR><TH><FONT>sky130_fd_sc_hs__dlygate4sd3_1</FONT></TH>
<TD align=center>12.78720</TD></TR>
</TABLE>
<BR>
<BR>
<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH>
<TH colspan=1>Pin Cap(pf) </TH>
<TH colspan=1>Max Cap(pf) </TH>
</TR>
<TR>
<TH>A</TH>
<TH>X</TH>
</TR>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd1_1</font></Th>
<TD align=center>0.00184</TD>
<TD align=center>1.27112</TD>
</TR>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd2_1</font></Th>
<TD align=center>0.00185</TD>
<TD align=center>1.27462</TD>
</TR>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd3_1</font></Th>
<TD align=center>0.00187</TD>
<TD align=center>1.26016</TD>
</TR>
</TABLE>
<BR>
<BR>
<FONT SIZE=+2><B>Leakage Information</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd1_1</font></Th>
<TD align=center>0.00000</TD>
<TD align=center>4.60783</TD>
<TD align=center>7.54645</TD>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd2_1</font></Th>
<TD align=center>0.00000</TD>
<TD align=center>4.17076</TD>
<TD align=center>7.10944</TD>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd3_1</font></Th>
<TD align=center>0.00000</TD>
<TD align=center>4.16975</TD>
<TD align=center>7.10890</TD>
</TABLE>
<BR>
<!--NewPage-->
<BR>
<FONT SIZE=+2><B>Delay Information</B></FONT>
<BR>
<BR>
<FONT><B>Delay(ns) to X rising :</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
<BR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd1_1</TH>
<Th><font>A->X (RR)</font></Th>
<TD align=center>0.14620</TD>
<TD align=center>0.58944</TD>
<TD align=center>5.86680</TD>
</TR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd2_1</TH>
<Th><font>A->X (RR)</font></Th>
<TD align=center>0.18493</TD>
<TD align=center>0.63843</TD>
<TD align=center>6.00092</TD>
</TR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd3_1</TH>
<Th><font>A->X (RR)</font></Th>
<TD align=center>0.30907</TD>
<TD align=center>0.78082</TD>
<TD align=center>6.20237</TD>
</TR>
</TABLE>
<BR>
<BR>
<FONT><B>Delay(ns) to X falling :</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
<BR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd1_1</TH>
<Th><font>A->X (FF)</font></Th>
<TD align=center>0.17664</TD>
<TD align=center>0.60566</TD>
<TD align=center>5.16881</TD>
</TR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd2_1</TH>
<Th><font>A->X (FF)</font></Th>
<TD align=center>0.21684</TD>
<TD align=center>0.66063</TD>
<TD align=center>5.24889</TD>
</TR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd3_1</TH>
<Th><font>A->X (FF)</font></Th>
<TD align=center>0.32139</TD>
<TD align=center>0.80484</TD>
<TD align=center>5.41887</TD>
</TR>
</TABLE>
<BR>
<!--NewPage-->
<BR>
<FONT SIZE=+2><B>Power Information</B></FONT>
<BR>
<BR>
<FONT><B>Internal switching power(pJ) to X rising :</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd1_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.01393</TD>
<TD align=center>0.01526</TD>
<TD align=center>0.04875</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.01096</TD>
<TD align=center>0.01231</TD>
<TD align=center>0.04582</TD>
</TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd2_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.01460</TD>
<TD align=center>0.01576</TD>
<TD align=center>0.04786</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.01161</TD>
<TD align=center>0.01278</TD>
<TD align=center>0.04539</TD>
</TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd3_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.01688</TD>
<TD align=center>0.01796</TD>
<TD align=center>0.04868</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.01387</TD>
<TD align=center>0.01494</TD>
<TD align=center>0.04583</TD>
</TR>
</TABLE>
<BR>
<BR>
<FONT><B>Internal switching power(pJ) to X falling :</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd1_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.01058</TD>
<TD align=center>0.01231</TD>
<TD align=center>0.04636</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.01356</TD>
<TD align=center>0.01526</TD>
<TD align=center>0.04780</TD>
</TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd2_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.01131</TD>
<TD align=center>0.01273</TD>
<TD align=center>0.04544</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.01431</TD>
<TD align=center>0.01571</TD>
<TD align=center>0.04699</TD>
</TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd3_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.01413</TD>
<TD align=center>0.01484</TD>
<TD align=center>0.04521</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.01716</TD>
<TD align=center>0.01793</TD>
<TD align=center>0.04763</TD>
</TR>
</TABLE>
<BR>
<DIV class=NOPRINT>
<HR>
<TABLE BORDER=0 WIDTH=100%>
<TR>
<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="SKY130_FD_SC_HS__DLXTP.html">Prev (SKY130_FD_SC_HS__DLXTP)</A></em></TD></TABLE>
<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="SKY130_FD_SC_HS__EBUFNx.html">Next (SKY130_FD_SC_HS__EBUFNx)</A></em></TD></TABLE>
</TR></TABLE>
</DIV>
</BODY>
</HTML>