blob: cdc962537cdf7a417a470f34f264777e4127078a [file] [log] [blame]
<HTML><BODY TEXT="#000000" LINK="#0000FF" VLINK="#0000FF" ALINK="#00FFFF"><TABLE BORDER=0 CELLSPACING=0 CELLPADDING=5 WIDTH=100%>
<TR align=center><th align=left><H1><font size=+3>SKY130_FD_SC_HS__DLYGATE4SDx</font></H1></th>
<TR align=center><th align=left></th><th align=right><h5><em><A>sky130_fd_sc_hs_ff_1.76v_-40C.ccs Cell Library: Process , Voltage 1.76, Temp -40.00 </A></em></h5></th></TR>
</TABLE>
<HR>
<BR>
<TABLE BORDER=0 CELLSPACING=0 CELLPADDING=0 WIDTH=100%>
<TR ALIGN=LEFT VALIGN=TOP><TH><FONT SIZE=+2><B>Truth Table</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4>
<TR valign=middle><TH colspan=1><font size=3>INPUT</font></TH>
<TH colspan=1><font size=3>OUTPUT</font></TH>
<TR><Th>A</Th><Th>X</Th></TR>
<TR><Th><font size=2>0</font></Th><Th><font size=2>0</font></Th></TR>
<TR><Th><font size=2>1</font></Th><Th><font size=2>1</font></Th></TR>
</TABLE>
</TR></TABLE>
<BR>
<FONT SIZE=+2><B>Footprint</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=60%>
<TR valign=middle><TH>Cell Name</TH><TH>Area</TH></TR>
<TR><TH><FONT>sky130_fd_sc_hs__dlygate4sd1_1</FONT></TH>
<TD align=center>12.78720</TD></TR>
<TR><TH><FONT>sky130_fd_sc_hs__dlygate4sd2_1</FONT></TH>
<TD align=center>12.78720</TD></TR>
<TR><TH><FONT>sky130_fd_sc_hs__dlygate4sd3_1</FONT></TH>
<TD align=center>12.78720</TD></TR>
</TABLE>
<BR>
<BR>
<FONT SIZE=+2><B>Pin Capacitance Information</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH>
<TH colspan=1>Pin Cap(pf) </TH>
<TH colspan=1>Max Cap(pf) </TH>
</TR>
<TR>
<TH>A</TH>
<TH>X</TH>
</TR>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd1_1</font></Th>
<TD align=center>0.00181</TD>
<TD align=center>1.41710</TD>
</TR>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd2_1</font></Th>
<TD align=center>0.00182</TD>
<TD align=center>1.41467</TD>
</TR>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd3_1</font></Th>
<TD align=center>0.00184</TD>
<TD align=center>1.41461</TD>
</TR>
</TABLE>
<BR>
<BR>
<FONT SIZE=+2><B>Leakage Information</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH colspan=3>Leakage(nW)</TH>
</TR><TR><TH>Min.</TH><TH>Avg</TH><TH>Max.</TH></TR>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd1_1</font></Th>
<TD align=center>0.00000</TD>
<TD align=center>0.04175</TD>
<TD align=center>0.06493</TD>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd2_1</font></Th>
<TD align=center>0.00000</TD>
<TD align=center>0.04021</TD>
<TD align=center>0.06339</TD>
<TR><Th><font>sky130_fd_sc_hs__dlygate4sd3_1</font></Th>
<TD align=center>0.00000</TD>
<TD align=center>0.04124</TD>
<TD align=center>0.06442</TD>
</TABLE>
<BR>
<!--NewPage-->
<BR>
<FONT SIZE=+2><B>Delay Information</B></FONT>
<BR>
<BR>
<FONT><B>Delay(ns) to X rising :</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
<BR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd1_1</TH>
<Th><font>A->X (RR)</font></Th>
<TD align=center>0.11288</TD>
<TD align=center>0.49526</TD>
<TD align=center>5.25808</TD>
</TR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd2_1</TH>
<Th><font>A->X (RR)</font></Th>
<TD align=center>0.14275</TD>
<TD align=center>0.53221</TD>
<TD align=center>5.35811</TD>
</TR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd3_1</TH>
<Th><font>A->X (RR)</font></Th>
<TD align=center>0.26566</TD>
<TD align=center>0.67457</TD>
<TD align=center>5.63557</TD>
</TR>
</TABLE>
<BR>
<BR>
<FONT><B>Delay(ns) to X falling :</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Timing Arc(Dir)</TH>
<TH colspan=3>Delay(ns)</TH></TR><TR><TH>First</TH><TH>Mid</TH><TH>Last</TH></TR>
<BR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd1_1</TH>
<Th><font>A->X (FF)</font></Th>
<TD align=center>0.13980</TD>
<TD align=center>0.53709</TD>
<TD align=center>4.93731</TD>
</TR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd2_1</TH>
<Th><font>A->X (FF)</font></Th>
<TD align=center>0.17057</TD>
<TD align=center>0.58205</TD>
<TD align=center>5.01042</TD>
</TR>
<TR><TH rowspan=1>sky130_fd_sc_hs__dlygate4sd3_1</TH>
<Th><font>A->X (FF)</font></Th>
<TD align=center>0.26731</TD>
<TD align=center>0.71705</TD>
<TD align=center>5.20647</TD>
</TR>
</TABLE>
<BR>
<!--NewPage-->
<BR>
<FONT SIZE=+2><B>Power Information</B></FONT>
<BR>
<BR>
<FONT><B>Internal switching power(pJ) to X rising :</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd1_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.01222</TD>
<TD align=center>0.01254</TD>
<TD align=center>0.03485</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.00943</TD>
<TD align=center>0.00976</TD>
<TD align=center>0.03229</TD>
</TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd2_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.01290</TD>
<TD align=center>0.01317</TD>
<TD align=center>0.03471</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.01009</TD>
<TD align=center>0.01037</TD>
<TD align=center>0.03207</TD>
</TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd3_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.01493</TD>
<TD align=center>0.01516</TD>
<TD align=center>0.03602</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.01208</TD>
<TD align=center>0.01232</TD>
<TD align=center>0.03334</TD>
</TR>
</TABLE>
<BR>
<BR>
<FONT><B>Internal switching power(pJ) to X falling :</B></FONT>
<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=4 WIDTH=100%>
<TR valign=middle><TH rowspan=2>Cell Name</TH><TH rowspan=2>Input</TH>
<TH colspan=3>Power(pJ)</TH></TR><TR><TH>first</TH><TH>mid</TH><TH>last</TH></TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd1_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.00909</TD>
<TD align=center>0.00996</TD>
<TD align=center>0.03191</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.01188</TD>
<TD align=center>0.01274</TD>
<TD align=center>0.03388</TD>
</TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd2_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.00982</TD>
<TD align=center>0.01050</TD>
<TD align=center>0.03084</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.01263</TD>
<TD align=center>0.01331</TD>
<TD align=center>0.03357</TD>
</TR>
<TR><TH rowspan=2>sky130_fd_sc_hs__dlygate4sd3_1</TH>
<Th><font>A</font></Th>
<TD align=center>0.01235</TD>
<TD align=center>0.01255</TD>
<TD align=center>0.03229</TD>
</TR>
<TR>
<Th><font>A</font></Th>
<TD align=center>0.01519</TD>
<TD align=center>0.01546</TD>
<TD align=center>0.03444</TD>
</TR>
</TABLE>
<BR>
<DIV class=NOPRINT>
<HR>
<TABLE BORDER=0 WIDTH=100%>
<TR>
<TD ALIGN=LEFT><TABLE BORDER=5><TD><em><A hREF="SKY130_FD_SC_HS__DLXTP.html">Prev (SKY130_FD_SC_HS__DLXTP)</A></em></TD></TABLE>
<TD ALIGN=RIGHT><TABLE BORDER=5><TD><em><A hREF="SKY130_FD_SC_HS__EBUFNx.html">Next (SKY130_FD_SC_HS__EBUFNx)</A></em></TD></TABLE>
</TR></TABLE>
</DIV>
</BODY>
</HTML>