blob: 3e986943523cbd09b72efff972a27e5441a61960 [file] [log] [blame]
{
"area": 46.3536,
"cell_footprint": "sdfrbp",
"cell_leakage_power": 3726.5087,
"ff IQ, IQ_N": {
"clear": "!RESET_B",
"clocked_on": "CLK",
"next_state": "(D&!SCE) | (SCD&SCE)"
},
"leakage_power": [
{
"value": 2493.9172635,
"when": "!D&!SCD&!SCE&!CLK&!RESET_B"
},
{
"value": 4376.1422835,
"when": "!D&!SCD&!SCE&!CLK&RESET_B"
},
{
"value": 2107.8750615,
"when": "!D&!SCD&!SCE&CLK&!RESET_B"
},
{
"value": 6015.8788365,
"when": "!D&!SCD&!SCE&CLK&RESET_B"
},
{
"value": 2807.576577,
"when": "!D&!SCD&SCE&!CLK&!RESET_B"
},
{
"value": 4689.6732285,
"when": "!D&!SCD&SCE&!CLK&RESET_B"
},
{
"value": 2421.5310405,
"when": "!D&!SCD&SCE&CLK&!RESET_B"
},
{
"value": 6329.3672325,
"when": "!D&!SCD&SCE&CLK&RESET_B"
},
{
"value": 2493.9201495,
"when": "!D&SCD&!SCE&!CLK&!RESET_B"
},
{
"value": 4376.747505,
"when": "!D&SCD&!SCE&!CLK&RESET_B"
},
{
"value": 2107.8795075,
"when": "!D&SCD&!SCE&CLK&!RESET_B"
},
{
"value": 6017.2781565,
"when": "!D&SCD&!SCE&CLK&RESET_B"
},
{
"value": 2808.090012,
"when": "!D&SCD&SCE&!CLK&!RESET_B"
},
{
"value": 5738.430048,
"when": "!D&SCD&SCE&!CLK&RESET_B"
},
{
"value": 2422.432662,
"when": "!D&SCD&SCE&CLK&!RESET_B"
},
{
"value": 6168.5690235,
"when": "!D&SCD&SCE&CLK&RESET_B"
},
{
"value": 2494.450881,
"when": "D&!SCD&!SCE&!CLK&!RESET_B"
},
{
"value": 5707.8553155,
"when": "D&!SCD&!SCE&!CLK&RESET_B"
},
{
"value": 2108.7700335,
"when": "D&!SCD&!SCE&CLK&!RESET_B"
},
{
"value": 6135.3534255,
"when": "D&!SCD&!SCE&CLK&RESET_B"
},
{
"value": 2807.587224,
"when": "D&!SCD&SCE&!CLK&!RESET_B"
},
{
"value": 4690.068279,
"when": "D&!SCD&SCE&!CLK&RESET_B"
},
{
"value": 2421.5354865,
"when": "D&!SCD&SCE&CLK&!RESET_B"
},
{
"value": 6330.2420025,
"when": "D&!SCD&SCE&CLK&RESET_B"
},
{
"value": 2494.450881,
"when": "D&SCD&!SCE&!CLK&!RESET_B"
},
{
"value": 5434.921557,
"when": "D&SCD&!SCE&!CLK&RESET_B"
},
{
"value": 2108.770248,
"when": "D&SCD&!SCE&CLK&!RESET_B"
},
{
"value": 5864.1814725,
"when": "D&SCD&!SCE&CLK&RESET_B"
},
{
"value": 2808.1002105,
"when": "D&SCD&SCE&!CLK&!RESET_B"
},
{
"value": 5612.392656,
"when": "D&SCD&SCE&!CLK&RESET_B"
},
{
"value": 2422.433091,
"when": "D&SCD&SCE&CLK&!RESET_B"
},
{
"value": 6042.751533,
"when": "D&SCD&SCE&CLK&RESET_B"
}
],
"pg_pin VGND": {
"pg_type": "primary_ground",
"voltage_name": "VGND"
},
"pg_pin VNB": {
"pg_type": "primary_ground",
"voltage_name": "VNB"
},
"pg_pin VPB": {
"pg_type": "primary_power",
"voltage_name": "VPB"
},
"pg_pin VPWR": {
"pg_type": "primary_power",
"voltage_name": "VPWR"
},
"pin CLK": {
"capacitance": 0.003,
"ccsn_first_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"index_2": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"values": [
[
3.1703,
0.6302,
0.527409,
0.492011,
0.454526,
0.435056,
0.415147,
0.394788,
0.374011,
0.352762,
0.330589,
0.306498,
0.282605,
0.260415,
0.238926,
0.21696,
0.194422,
0.171288,
0.14762,
0.123567,
0.099249,
0.0747157,
0.0499893,
0.0250797,
-5.64156e-08,
-0.0492719,
-0.0969542,
-0.253446,
-1.10512
],
[
3.12766,
0.578748,
0.503632,
0.480599,
0.45499,
0.440822,
0.425581,
0.409231,
0.391779,
0.373191,
0.352933,
0.329956,
0.306778,
0.285096,
0.263498,
0.240865,
0.217141,
0.192353,
0.166629,
0.140166,
0.113105,
0.0855176,
0.0574491,
0.0289315,
-7.0928e-08,
-0.0570806,
-0.112315,
-0.287449,
-1.11074
],
[
3.06147,
0.481257,
0.412745,
0.397361,
0.381136,
0.372591,
0.363655,
0.354213,
0.344089,
0.332956,
0.319829,
0.303175,
0.285782,
0.269494,
0.252764,
0.234159,
0.21366,
0.191343,
0.1674,
0.142097,
0.115626,
0.0881007,
0.0596053,
0.030215,
-9.86017e-08,
-0.0603897,
-0.119471,
-0.305487,
-1.11354
],
[
3.04163,
0.45608,
0.368536,
0.355298,
0.34147,
0.334268,
0.32682,
0.319062,
0.310902,
0.302114,
0.291767,
0.278206,
0.264139,
0.251267,
0.237938,
0.22237,
0.204518,
0.184465,
0.162417,
0.138667,
0.113435,
0.0868528,
0.0590243,
0.0300435,
-1.21938e-07,
-0.0606012,
-0.120408,
-0.309422,
-1.11426
],
[
3.02208,
0.472041,
0.31862,
0.307333,
0.295635,
0.289592,
0.283392,
0.277001,
0.270368,
0.263331,
0.255007,
0.243788,
0.232606,
0.223379,
0.214335,
0.202889,
0.188798,
0.172087,
0.152948,
0.13169,
0.108561,
0.0837102,
0.0572585,
0.0293182,
-6.63621e-08,
-0.059975,
-0.119967,
-0.311309,
-1.11473
],
[
3.01107,
0.489274,
0.292069,
0.2816,
0.270871,
0.26535,
0.259701,
0.253903,
0.247916,
0.241594,
0.234064,
0.223718,
0.213552,
0.20575,
0.198808,
0.189702,
0.177909,
0.163319,
0.146079,
0.126492,
0.104816,
0.0812057,
0.0557863,
0.0286777,
-7.11686e-08,
-0.0592381,
-0.119051,
-0.311207,
-1.11485
],
[
2.99935,
0.506136,
0.265698,
0.255026,
0.245204,
0.240166,
0.235027,
0.22977,
0.224368,
0.218682,
0.211842,
0.202241,
0.192861,
0.186129,
0.180946,
0.174075,
0.164747,
0.152564,
0.137537,
0.119936,
0.100019,
0.0779426,
0.0538306,
0.0278071,
-1.93338e-07,
-0.0581487,
-0.117555,
-0.310225,
-1.11486
],
[
2.9872,
0.520619,
0.245991,
0.227933,
0.218927,
0.214338,
0.209669,
0.20491,
0.200037,
0.194921,
0.188694,
0.179751,
0.171001,
0.165057,
0.161237,
0.156219,
0.149255,
0.139666,
0.127151,
0.111861,
0.0940319,
0.0738103,
0.0513146,
0.0266667,
-2.69194e-06,
-0.0566503,
-0.115387,
-0.308217,
-1.11479
],
[
2.97489,
0.532652,
0.240757,
0.201073,
0.192377,
0.188202,
0.183967,
0.179663,
0.175272,
0.17067,
0.164996,
0.156659,
0.148442,
0.143088,
0.1403,
0.136687,
0.131658,
0.124573,
0.114776,
0.102104,
0.0866974,
0.0686724,
0.048129,
0.0251792,
-5.00716e-05,
-0.054734,
-0.112513,
-0.305176,
-1.11533
],
[
2.96269,
0.542978,
0.243117,
0.178876,
0.16591,
0.162103,
0.158259,
0.154363,
0.150401,
0.146254,
0.141077,
0.133319,
0.125586,
0.120681,
0.118639,
0.116039,
0.11239,
0.107289,
0.100101,
0.0902318,
0.0775228,
0.0619952,
0.0437089,
0.0227525,
-0.000760896,
-0.0531104,
-0.1098,
-0.302883,
-1.12277
],
[
2.95084,
0.55247,
0.247268,
0.169163,
0.139739,
0.135978,
0.132362,
0.128711,
0.125002,
0.121124,
0.116291,
0.109087,
0.101807,
0.0971411,
0.0953227,
0.0931093,
0.0900632,
0.085972,
0.0805103,
0.0730651,
0.0629626,
0.0499173,
0.0338905,
0.0149263,
-0.00688983,
-0.0571812,
-0.113385,
-0.310274,
-1.15271
],
[
2.93957,
0.561884,
0.252185,
0.1659,
0.113477,
0.106368,
0.101887,
0.0976839,
0.0935046,
0.0892175,
0.0842385,
0.0775306,
0.070688,
0.0657749,
0.0630088,
0.0599827,
0.0563584,
0.0520506,
0.046957,
0.0408217,
0.032869,
0.0221832,
0.0084191,
-0.00847349,
-0.0284601,
-0.0765221,
-0.132247,
-0.333468,
-1.20162
],
[
2.9291,
0.571823,
0.257909,
0.163107,
0.088134,
0.069686,
0.060572,
0.0545061,
0.0491688,
0.0440484,
0.0386547,
0.0322562,
0.0257596,
0.0205587,
0.016781,
0.0129512,
0.0087924,
0.0042592,
-0.000679759,
-0.00607115,
-0.0122115,
-0.0201496,
-0.0309543,
-0.0449692,
-0.0622558,
-0.106433,
-0.160322,
-0.362615,
-1.25386
],
[
2.91964,
0.58276,
0.26449,
0.160135,
0.0656787,
0.0342373,
0.0159429,
0.00634812,
-0.000570752,
-0.00659039,
-0.0124149,
-0.0186857,
-0.0249255,
-0.0301826,
-0.0344683,
-0.0386708,
-0.0430253,
-0.0475612,
-0.0522854,
-0.0571868,
-0.0622951,
-0.0679891,
-0.0755413,
-0.08611,
-0.100024,
-0.138963,
-0.190002,
-0.391092,
-1.30438
],
[
2.91136,
0.59507,
0.271889,
0.157423,
0.0464853,
0.00382541,
-0.0250922,
-0.0403546,
-0.0495294,
-0.0566734,
-0.0630358,
-0.069267,
-0.075206,
-0.080397,
-0.0850453,
-0.0895689,
-0.0941021,
-0.0986606,
-0.10324,
-0.107821,
-0.112396,
-0.117025,
-0.122117,
-0.129139,
-0.139297,
-0.17196,
-0.219377,
-0.417518,
-1.35276
],
[
2.90442,
0.609047,
0.28001,
0.155425,
0.0305622,
-0.0215196,
-0.0604986,
-0.0833892,
-0.0957582,
-0.104363,
-0.11142,
-0.117684,
-0.123231,
-0.128251,
-0.133195,
-0.138085,
-0.142849,
-0.147485,
-0.151982,
-0.156325,
-0.160534,
-0.164646,
-0.168719,
-0.173153,
-0.179605,
-0.205154,
-0.248205,
-0.441658,
-1.39905
],
[
2.89897,
0.624911,
0.288739,
0.15455,
0.0179763,
-0.0419977,
-0.0898369,
-0.121472,
-0.138232,
-0.148731,
-0.156667,
-0.163044,
-0.168111,
-0.172841,
-0.177997,
-0.183289,
-0.188319,
-0.193066,
-0.197508,
-0.201645,
-0.205545,
-0.209289,
-0.21292,
-0.216485,
-0.220476,
-0.238633,
-0.276529,
-0.463554,
-1.44329
],
[
2.89509,
0.642812,
0.298018,
0.155179,
0.0088357,
-0.0576986,
-0.113107,
-0.153537,
-0.176028,
-0.188987,
-0.198032,
-0.204647,
-0.209263,
-0.213697,
-0.219024,
-0.224742,
-0.230074,
-0.234979,
-0.239441,
-0.243482,
-0.247231,
-0.250821,
-0.254311,
-0.257732,
-0.261157,
-0.273002,
-0.304731,
-0.483637,
-1.48552
],
[
2.89287,
0.662846,
0.307913,
0.157653,
0.00321906,
-0.0687256,
-0.130514,
-0.179008,
-0.208462,
-0.224679,
-0.235223,
-0.242442,
-0.247085,
-0.251605,
-0.25723,
-0.263407,
-0.269105,
-0.274284,
-0.27894,
-0.283121,
-0.28699,
-0.290701,
-0.294318,
-0.297867,
-0.301383,
-0.309771,
-0.333865,
-0.502658,
-1.52583
],
[
2.89233,
0.685042,
0.318486,
0.162009,
0.000774204,
-0.0756968,
-0.142936,
-0.19854,
-0.235864,
-0.256499,
-0.269228,
-0.277703,
-0.283108,
-0.288165,
-0.294245,
-0.300917,
-0.307033,
-0.312566,
-0.317525,
-0.321972,
-0.326084,
-0.330021,
-0.333849,
-0.337597,
-0.341294,
-0.348914,
-0.365242,
-0.521408,
-1.56434
],
[
2.8935,
0.709134,
0.329151,
0.167327,
0.000144994,
-0.0802271,
-0.152218,
-0.214016,
-0.259478,
-0.285818,
-0.301481,
-0.311714,
-0.318281,
-0.32408,
-0.330699,
-0.337901,
-0.344467,
-0.350384,
-0.355679,
-0.360426,
-0.364811,
-0.368999,
-0.373057,
-0.377018,
-0.38091,
-0.388682,
-0.399753,
-0.540564,
-1.60118
],
[
2.89622,
0.733975,
0.338731,
0.172333,
2.85668e-05,
-0.0836559,
-0.15972,
-0.226784,
-0.279885,
-0.313045,
-0.332406,
-0.34478,
-0.352741,
-0.359413,
-0.366644,
-0.374417,
-0.381463,
-0.38779,
-0.393441,
-0.398504,
-0.403175,
-0.407624,
-0.411922,
-0.416102,
-0.420195,
-0.428284,
-0.437305,
-0.560665,
-1.63647
],
[
2.89985,
0.758087,
0.346748,
0.176582,
6.04939e-06,
-0.0864337,
-0.165932,
-0.237418,
-0.297193,
-0.33792,
-0.361871,
-0.376824,
-0.386418,
-0.394099,
-0.402026,
-0.410422,
-0.417986,
-0.424749,
-0.430777,
-0.436173,
-0.441144,
-0.445865,
-0.450411,
-0.454818,
-0.459119,
-0.467557,
-0.476309,
-0.582132,
-1.67035
],
[
2.9037,
0.780755,
0.3533,
0.18008,
1.31047e-06,
-0.088706,
-0.171077,
-0.246262,
-0.311609,
-0.360057,
-0.389599,
-0.407687,
-0.419201,
-0.428057,
-0.436783,
-0.445863,
-0.45399,
-0.461223,
-0.467652,
-0.473402,
-0.478688,
-0.483693,
-0.488497,
-0.49314,
-0.497656,
-0.506462,
-0.515393,
-0.605276,
-1.70294
],
[
2.90749,
0.801663,
0.358581,
0.182915,
2.84348e-07,
-0.0905553,
-0.175313,
-0.253582,
-0.32348,
-0.379161,
-0.415248,
-0.437168,
-0.450963,
-0.461199,
-0.470849,
-0.48069,
-0.489434,
-0.497175,
-0.504036,
-0.510161,
-0.515781,
-0.521086,
-0.526161,
-0.53105,
-0.53579,
-0.544979,
-0.554177,
-0.630306,
-1.73436
],
[
2.91462,
0.837146,
0.366041,
0.186934,
1.69975e-08,
-0.093226,
-0.181557,
-0.264512,
-0.341098,
-0.4082,
-0.458813,
-0.490978,
-0.510823,
-0.524641,
-0.536638,
-0.548292,
-0.558477,
-0.567382,
-0.575217,
-0.582178,
-0.588532,
-0.594491,
-0.600154,
-0.605575,
-0.610798,
-0.620811,
-0.630617,
-0.686353,
-1.79408
],
[
2.92104,
0.862561,
0.370292,
0.189229,
1.3879e-08,
-0.0948362,
-0.185492,
-0.271613,
-0.352616,
-0.427007,
-0.490297,
-0.535813,
-0.564473,
-0.583471,
-0.598761,
-0.612773,
-0.62477,
-0.6351,
-0.644096,
-0.652038,
-0.659241,
-0.665946,
-0.672272,
-0.678289,
-0.68405,
-0.694986,
-0.70549,
-0.749969,
-1.85021
],
[
2.93384,
0.882965,
0.371123,
0.189683,
4.89792e-08,
-0.095765,
-0.18875,
-0.278781,
-0.365656,
-0.449092,
-0.528531,
-0.602397,
-0.666505,
-0.716012,
-0.752525,
-0.780503,
-0.802268,
-0.819696,
-0.834138,
-0.846446,
-0.857274,
-0.867063,
-0.876061,
-0.884431,
-0.892288,
-0.906795,
-0.920115,
-0.960346,
-2.00238
],
[
2.93107,
0.850578,
0.35508,
0.181124,
1.9636e-07,
-0.0917147,
-0.181761,
-0.270067,
-0.356558,
-0.441151,
-0.52371,
-0.603855,
-0.680648,
-0.753909,
-0.825994,
-0.89656,
-0.961724,
-1.01686,
-1.06026,
-1.09378,
-1.12039,
-1.14233,
-1.161,
-1.1773,
-1.19183,
-1.21705,
-1.23868,
-1.29169,
-2.22801
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000881,
"miller_cap_rise": 0.000616,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.01233864,
0.01734322,
0.02329342,
0.03055095,
0.0435438
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.05606984,
0.06929351,
0.07960013,
0.0891494,
0.1025313
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.01663231,
0.02711752,
0.04064864,
0.06065438,
0.09854386
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.07127745,
0.08914639,
0.1040394,
0.1238142,
0.1616659
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.8959716796875
],
"index_2": [
0.488085398802814
],
"index_3": [
0.001
],
"index_4": [
0.2335906,
0.2507818,
0.2795221,
0.310416,
0.33685
],
"values": [
1.69418775,
1.5407004,
1.4383755,
1.5407004,
1.69418775
]
},
{
"index_1": [
1.0921142578125
],
"index_2": [
0.215403413306731
],
"index_3": [
0.001
],
"index_4": [
0.1051214,
0.1164345,
0.1356119,
0.1615238,
0.1832984
],
"values": [
1.56501815,
1.33402904,
1.1800363,
1.33402904,
1.56501815
]
},
{
"index_1": [
1.2844482421875
],
"index_2": [
0.128827061276184
],
"index_3": [
0.001
],
"index_4": [
0.06402463,
0.07266371,
0.08757668,
0.1088669,
0.128534
],
"values": [
1.5045014,
1.23720224,
1.0590028,
1.23720224,
1.5045014
]
},
{
"index_1": [
0.8959716796875
],
"index_2": [
0.244042699401407
],
"index_3": [
0.001
],
"index_4": [
0.1194072,
0.1284249,
0.1453011,
0.164876,
0.1869198
],
"values": [
1.794346,
1.7009536,
1.638692,
1.7009536,
1.794346
]
},
{
"index_1": [
1.0921142578125
],
"index_2": [
0.107701706653365
],
"index_3": [
0.001
],
"index_4": [
0.05499066,
0.06057941,
0.07148611,
0.0865482,
0.1075613
],
"values": [
1.7394336,
1.61309376,
1.5288672,
1.61309376,
1.7394336
]
},
{
"index_1": [
1.2844482421875
],
"index_2": [
0.0644135306380921
],
"index_3": [
0.001
],
"index_4": [
0.0340228,
0.03828484,
0.04585764,
0.05992635,
0.07480075
],
"values": [
1.71173445,
1.56877512,
1.4734689,
1.56877512,
1.71173445
]
},
{
"index_1": [
1.0921142578125
],
"index_2": [
0.323105119960096
],
"index_3": [
0.001
],
"index_4": [
0.1550093,
0.1721125,
0.200092,
0.2372111,
0.2635259
],
"values": [
1.424358,
1.1089728,
0.898716,
1.1089728,
1.424358
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.073618794154
],
"index_2": [
0.769938105546127
],
"index_3": [
0.001
],
"index_4": [
0.3577948,
0.3833429,
0.4045273,
0.4301046,
0.457025
],
"values": [
0.0865529,
0.13848464,
0.1731058,
0.13848464,
0.0865529
]
},
{
"index_1": [
1.2292236328125
],
"index_2": [
0.452689962352785
],
"index_3": [
0.001
],
"index_4": [
0.2188043,
0.2324451,
0.2519641,
0.2774494,
0.293995
],
"values": [
0.22729395,
0.36367032,
0.4545879,
0.36367032,
0.22729395
]
},
{
"index_1": [
1.3948974609375
],
"index_2": [
0.289970310673635
],
"index_3": [
0.001
],
"index_4": [
0.1408912,
0.1538646,
0.1751041,
0.1965212,
0.2109761
],
"values": [
0.3606045,
0.5769672,
0.721209,
0.5769672,
0.3606045
]
},
{
"index_1": [
1.073618794154
],
"index_2": [
0.384969052773064
],
"index_3": [
0.001
],
"index_4": [
0.1804476,
0.1940952,
0.2055586,
0.2254516,
0.243394
],
"values": [
0.06852465,
0.10963944,
0.1370493,
0.10963944,
0.06852465
]
},
{
"index_1": [
1.2292236328125
],
"index_2": [
0.226344981176392
],
"index_3": [
0.001
],
"index_4": [
0.1107529,
0.1180306,
0.129316,
0.1439169,
0.155656
],
"values": [
0.13934865,
0.22295784,
0.2786973,
0.22295784,
0.13934865
]
},
{
"index_1": [
1.3948974609375
],
"index_2": [
0.144985155336818
],
"index_3": [
0.001
],
"index_4": [
0.07271802,
0.07904632,
0.09088957,
0.1017216,
0.1109398
],
"values": [
0.20783525,
0.3325364,
0.4156705,
0.3325364,
0.20783525
]
},
{
"index_1": [
1.2292236328125
],
"index_2": [
0.679034943529177
],
"index_3": [
0.001
],
"index_4": [
0.3273399,
0.3464915,
0.3742152,
0.4091146,
0.4307785
],
"values": [
0.2944309,
0.47108944,
0.5888618,
0.47108944,
0.2944309
]
}
]
},
"stage_type": "both"
},
"clock": "true",
"direction": "input",
"internal_power": {
"fall_power hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.02743,
0.02743,
0.02729,
0.02738,
0.02757,
0.02828,
0.02934,
0.03131,
0.03408,
0.04196,
0.04966,
0.06675,
0.08784
]
},
"rise_power hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.02082,
0.02,
0.02046,
0.0205,
0.02089,
0.02093,
0.02158,
0.02284,
0.02482,
0.03138,
0.0391,
0.05575,
0.07704
]
}
},
"max_transition": 1.0,
"min_pulse_width_high": 0.10456,
"min_pulse_width_low": 0.10456,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR"
},
"pin D": {
"capacitance": 0.00194,
"ccsn_first_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"index_2": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"values": [
[
5.74004,
0.376931,
0.15611,
0.14968,
0.142634,
0.138891,
0.134988,
0.130894,
0.126545,
0.121835,
0.116632,
0.110845,
0.104474,
0.0975746,
0.0902094,
0.0824349,
0.0742987,
0.0658421,
0.0571047,
0.0481122,
0.0388871,
0.0294486,
0.0198129,
0.00999288,
-1.12534e-08,
-0.0198576,
-0.0392954,
-0.156325,
-2.42009
],
[
5.72257,
0.353692,
0.136946,
0.134683,
0.131953,
0.130255,
0.128218,
0.125778,
0.122882,
0.119471,
0.115475,
0.110835,
0.105524,
0.0995479,
0.0929336,
0.0857166,
0.0779358,
0.0696332,
0.060855,
0.0516363,
0.0420104,
0.0320081,
0.0216568,
0.0109805,
-1.13769e-08,
-0.0220039,
-0.0436339,
-0.164385,
-2.42064
],
[
5.71042,
0.336715,
0.114779,
0.112542,
0.11009,
0.108763,
0.107356,
0.105852,
0.104223,
0.102417,
0.100334,
0.0978022,
0.0946297,
0.0907051,
0.0859904,
0.0804813,
0.0741909,
0.0671452,
0.0593835,
0.0509435,
0.0418661,
0.0321934,
0.0219663,
0.0112234,
-1.07569e-08,
-0.0228595,
-0.0456331,
-0.168624,
-2.42094
],
[
5.70643,
0.339099,
0.104193,
0.101832,
0.0993073,
0.0979724,
0.0965829,
0.0951317,
0.0936079,
0.0919939,
0.090259,
0.0883437,
0.0861248,
0.0833832,
0.0798901,
0.0755327,
0.0702855,
0.0641602,
0.0571896,
0.0494109,
0.0408678,
0.0316079,
0.0216792,
0.0111285,
-1.32471e-08,
-0.0229075,
-0.0459402,
-0.169572,
-2.42101
],
[
5.70181,
0.358187,
0.0917335,
0.0893363,
0.0868272,
0.0855204,
0.0841757,
0.0827898,
0.0813581,
0.0798735,
0.0783252,
0.0766949,
0.074949,
0.0730177,
0.0707443,
0.0678438,
0.0640493,
0.0592575,
0.0534651,
0.0466994,
0.0390035,
0.0304292,
0.0210327,
0.010871,
-2.16273e-08,
-0.0227334,
-0.0459074,
-0.170053,
-2.42106
],
[
5.69898,
0.36971,
0.0850217,
0.0825376,
0.0800643,
0.0787835,
0.0774711,
0.0761249,
0.0747417,
0.073317,
0.071844,
0.070312,
0.0687024,
0.0669801,
0.0650687,
0.0627882,
0.0598112,
0.0558564,
0.0508332,
0.044745,
0.0376284,
0.0295349,
0.020524,
0.0106581,
-3.30192e-08,
-0.0225313,
-0.0457149,
-0.170041,
-2.42106
],
[
5.69581,
0.380152,
0.0791309,
0.0754532,
0.0730294,
0.0717812,
0.0705068,
0.0692047,
0.0678727,
0.066508,
0.0651063,
0.063661,
0.0621616,
0.0605894,
0.0589074,
0.0570316,
0.0547519,
0.0516923,
0.0475636,
0.0422868,
0.0358767,
0.0283794,
0.0198556,
0.0103725,
-9.89028e-08,
-0.0222301,
-0.0453706,
-0.169806,
-2.42106
],
[
5.69237,
0.388886,
0.0785119,
0.0681821,
0.0657904,
0.0645805,
0.0633491,
0.0620951,
0.0608173,
0.0595137,
0.0581817,
0.0568174,
0.0554144,
0.0539625,
0.0524429,
0.0508169,
0.0489908,
0.0467159,
0.0435612,
0.0392345,
0.0336745,
0.0269085,
0.0189928,
0.0099975,
-1.24249e-06,
-0.0218093,
-0.0448429,
-0.169293,
-2.42104
],
[
5.68871,
0.395767,
0.0838243,
0.0612399,
0.0584243,
0.057257,
0.0560727,
0.0548705,
0.0536494,
0.0524083,
0.0511457,
0.0498591,
0.0485449,
0.0471972,
0.0458061,
0.0443529,
0.0427973,
0.0410333,
0.038761,
0.0354866,
0.030928,
0.0250454,
0.0178786,
0.00949493,
-2.49037e-05,
-0.0212701,
-0.0441279,
-0.168514,
-2.42111
],
[
5.68487,
0.400711,
0.0902812,
0.057774,
0.0510108,
0.0498772,
0.0487385,
0.0475857,
0.046418,
0.0452344,
0.044034,
0.0428153,
0.0415759,
0.0403127,
0.03902,
0.0376882,
0.0362988,
0.03481,
0.0330998,
0.0307964,
0.0273434,
0.0224836,
0.0161998,
0.00854461,
-0.000402592,
-0.0209933,
-0.0436922,
-0.16838,
-2.42227
],
[
5.68091,
0.403602,
0.0952775,
0.0593446,
0.0435625,
0.0422303,
0.0410585,
0.039883,
0.0386937,
0.0374878,
0.0362633,
0.035019,
0.0337531,
0.0324636,
0.0311473,
0.0297991,
0.0284104,
0.0269637,
0.0254163,
0.0236238,
0.0211208,
0.0172974,
0.0119353,
0.00503926,
-0.00332504,
-0.0233541,
-0.0461242,
-0.172017,
-2.42656
],
[
5.67689,
0.404424,
0.0977204,
0.0601297,
0.0359603,
0.0325034,
0.0307903,
0.0292946,
0.0278431,
0.0264018,
0.024959,
0.0235093,
0.02205,
0.0205788,
0.0190939,
0.0175927,
0.0160713,
0.0145225,
0.012931,
0.0112535,
0.00931737,
0.00653853,
0.00226883,
-0.0036655,
-0.0112428,
-0.0303944,
-0.0530322,
-0.179172,
-2.43274
],
[
5.67287,
0.403477,
0.0977455,
0.0586521,
0.0287009,
0.0206865,
0.0169439,
0.0147765,
0.0129613,
0.0112687,
0.00963387,
0.00803074,
0.00644659,
0.00487424,
0.00330916,
0.00174798,
0.000187606,
-0.00137577,
-0.00294847,
-0.0045443,
-0.00620653,
-0.00814853,
-0.0111067,
-0.0157402,
-0.0221767,
-0.0398519,
-0.0619171,
-0.186657,
-2.43886
],
[
5.66895,
0.401317,
0.0962551,
0.0559651,
0.0221658,
0.0102335,
0.00287793,
-0.000807825,
-0.00318415,
-0.00516226,
-0.00696812,
-0.00868008,
-0.010333,
-0.0119451,
-0.0135268,
-0.0150843,
-0.0166222,
-0.0181436,
-0.0196524,
-0.0211535,
-0.022658,
-0.0242083,
-0.0260828,
-0.0292068,
-0.034198,
-0.0498354,
-0.070946,
-0.192976,
-2.44431
],
[
5.66521,
0.398503,
0.0940103,
0.0528074,
0.0163465,
0.00173742,
-0.00903433,
-0.0154614,
-0.0189093,
-0.0213287,
-0.0233564,
-0.025188,
-0.0269018,
-0.0285357,
-0.0301104,
-0.0316378,
-0.0331251,
-0.0345771,
-0.0359975,
-0.0373888,
-0.0387542,
-0.0401017,
-0.041473,
-0.0432658,
-0.0466029,
-0.0596212,
-0.0793809,
-0.197916,
-2.44907
],
[
5.66173,
0.395464,
0.0915164,
0.0496325,
0.0112788,
-0.00515854,
-0.0184734,
-0.0279876,
-0.0333703,
-0.0365425,
-0.0389004,
-0.0408899,
-0.042673,
-0.044322,
-0.045874,
-0.0473497,
-0.0487615,
-0.0501171,
-0.0514218,
-0.0526786,
-0.0538897,
-0.0550573,
-0.0561876,
-0.057331,
-0.0591449,
-0.0689671,
-0.0868926,
-0.201781,
-2.45324
],
[
5.65857,
0.39251,
0.0891304,
0.0467598,
0.00705194,
-0.0106636,
-0.0257993,
-0.0378304,
-0.0458526,
-0.0503245,
-0.0532045,
-0.0554188,
-0.0572893,
-0.0589486,
-0.0604613,
-0.0618623,
-0.0631725,
-0.0644052,
-0.0655705,
-0.0666749,
-0.0677241,
-0.0687235,
-0.0696787,
-0.070599,
-0.0716277,
-0.0779711,
-0.0934456,
-0.204936,
-2.45693
],
[
5.65579,
0.389871,
0.0871278,
0.0444403,
0.00378206,
-0.014832,
-0.0312643,
-0.0451236,
-0.0556871,
-0.0621629,
-0.0659051,
-0.0684676,
-0.0704707,
-0.0721559,
-0.0736346,
-0.0749661,
-0.0761859,
-0.0773178,
-0.0783794,
-0.0793836,
-0.0803403,
-0.0812579,
-0.0821432,
-0.0830025,
-0.0838596,
-0.0874147,
-0.0996856,
-0.207696,
-2.46025
],
[
5.65344,
0.387726,
0.0857336,
0.0428816,
0.00158521,
-0.017655,
-0.0350079,
-0.0501771,
-0.0626856,
-0.071553,
-0.0767175,
-0.0798895,
-0.0821628,
-0.0839716,
-0.0855046,
-0.0868568,
-0.0880822,
-0.0892144,
-0.0902764,
-0.0912835,
-0.0922465,
-0.0931735,
-0.0940709,
-0.0949435,
-0.0957985,
-0.0979888,
-0.106537,
-0.210317,
-2.46326
],
[
5.65156,
0.386222,
0.0851091,
0.0422022,
0.00046662,
-0.0192322,
-0.0372737,
-0.0534302,
-0.0673778,
-0.0784327,
-0.0856648,
-0.0899214,
-0.0927293,
-0.0948372,
-0.096559,
-0.098042,
-0.0993647,
-0.100573,
-0.101698,
-0.102757,
-0.103765,
-0.104731,
-0.105663,
-0.106565,
-0.107443,
-0.109257,
-0.114458,
-0.213003,
-2.46604
],
[
5.6502,
0.385455,
0.0852144,
0.0422438,
0.000103251,
-0.0199922,
-0.038612,
-0.055575,
-0.0706451,
-0.0833992,
-0.0929224,
-0.0988418,
-0.102511,
-0.105089,
-0.107099,
-0.108775,
-0.110237,
-0.11155,
-0.112755,
-0.11388,
-0.11494,
-0.11595,
-0.116917,
-0.117849,
-0.118752,
-0.120513,
-0.123545,
-0.215893,
-2.46863
],
[
5.64936,
0.385345,
0.0856849,
0.0425724,
2.33468e-05,
-0.020422,
-0.0395276,
-0.0571456,
-0.0730941,
-0.0870976,
-0.0985571,
-0.106556,
-0.111495,
-0.114745,
-0.117142,
-0.119063,
-0.120691,
-0.122124,
-0.123418,
-0.12461,
-0.125724,
-0.126774,
-0.127773,
-0.12873,
-0.129651,
-0.131415,
-0.133487,
-0.219039,
-2.47104
],
[
5.64899,
0.385549,
0.0861767,
0.0429095,
6.46817e-06,
-0.0207267,
-0.0402247,
-0.0583635,
-0.0749972,
-0.0899296,
-0.102799,
-0.112828,
-0.119472,
-0.123681,
-0.126599,
-0.12883,
-0.130655,
-0.132219,
-0.133606,
-0.134863,
-0.136022,
-0.137104,
-0.138124,
-0.139093,
-0.14002,
-0.141771,
-0.143536,
-0.222363,
-2.47322
],
[
5.64889,
0.385769,
0.0865866,
0.0431916,
2.46662e-06,
-0.0209617,
-0.0407734,
-0.0593263,
-0.0764981,
-0.0921374,
-0.10601,
-0.117625,
-0.126173,
-0.131699,
-0.135332,
-0.137955,
-0.140009,
-0.141714,
-0.143186,
-0.144495,
-0.145682,
-0.146774,
-0.147792,
-0.148748,
-0.149652,
-0.151339,
-0.152951,
-0.225616,
-2.47508
],
[
5.64887,
0.385916,
0.0869085,
0.0434164,
1.45531e-06,
-0.0211469,
-0.0412096,
-0.0600929,
-0.0776909,
-0.0938787,
-0.108486,
-0.121208,
-0.131424,
-0.138549,
-0.143143,
-0.146265,
-0.148579,
-0.150416,
-0.15195,
-0.153277,
-0.154453,
-0.155515,
-0.156487,
-0.157388,
-0.158228,
-0.159766,
-0.161194,
-0.228461,
-2.47652
],
[
5.64886,
0.385982,
0.0873314,
0.0437246,
1.13813e-06,
-0.0214083,
-0.0418325,
-0.0611933,
-0.0794042,
-0.0963667,
-0.11196,
-0.126011,
-0.138221,
-0.148064,
-0.155044,
-0.159511,
-0.162408,
-0.164436,
-0.165967,
-0.167191,
-0.168211,
-0.169088,
-0.169859,
-0.17055,
-0.171177,
-0.172289,
-0.17329,
-0.232615,
-2.47841
],
[
5.64884,
0.385801,
0.0875296,
0.0438908,
1.13425e-06,
-0.021566,
-0.0422189,
-0.0618881,
-0.0804964,
-0.0979558,
-0.114158,
-0.128948,
-0.142067,
-0.153082,
-0.161517,
-0.167316,
-0.17101,
-0.173353,
-0.174936,
-0.176089,
-0.176984,
-0.177715,
-0.178334,
-0.178873,
-0.179352,
-0.180183,
-0.180923,
-0.235948,
-2.47976
],
[
5.64874,
0.384324,
0.0873139,
0.0438866,
1.1942e-06,
-0.0216875,
-0.042589,
-0.0626434,
-0.0817819,
-0.0999217,
-0.116951,
-0.132689,
-0.146766,
-0.158508,
-0.167358,
-0.17367,
-0.178266,
-0.181775,
-0.184562,
-0.186811,
-0.188597,
-0.189952,
-0.190941,
-0.191665,
-0.192216,
-0.193019,
-0.193635,
-0.245576,
-2.48331
],
[
5.64852,
0.380494,
0.0857734,
0.0431583,
1.44548e-06,
-0.0214004,
-0.0421225,
-0.0621096,
-0.0812957,
-0.0995988,
-0.116903,
-0.133011,
-0.147501,
-0.159564,
-0.168552,
-0.174914,
-0.179572,
-0.183191,
-0.186154,
-0.188675,
-0.190881,
-0.192851,
-0.194639,
-0.196278,
-0.197793,
-0.200509,
-0.202861,
-0.25618,
-2.48752
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000495,
"miller_cap_rise": 0.000471,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.01731693,
0.03359078,
0.05513466,
0.09688323,
0.1558511
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.06846166,
0.09163697,
0.1121511,
0.1530701,
0.2120105
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0326597,
0.07379937,
0.1194587,
0.1730389,
0.2983677
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.09265518,
0.1333918,
0.1791062,
0.2325102,
0.3573633
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.8559814453125
],
"index_2": [
1.43499417458923
],
"index_3": [
0.001
],
"index_4": [
0.6776798,
0.7258672,
0.8038452,
0.8925011,
0.9741803
],
"values": [
1.7705992,
1.66295872,
1.5911984,
1.66295872,
1.7705992
]
},
{
"index_1": [
1.0426025390625
],
"index_2": [
0.633531898431722
],
"index_3": [
0.001
],
"index_4": [
0.301472,
0.3316237,
0.382066,
0.4502716,
0.5133109
],
"values": [
1.6582966,
1.48327456,
1.3665932,
1.48327456,
1.6582966
]
},
{
"index_1": [
1.2292236328125
],
"index_2": [
0.37553525602951
],
"index_3": [
0.001
],
"index_4": [
0.1791896,
0.2023342,
0.2452661,
0.2915938,
0.3460995
],
"values": [
1.5942004,
1.38072064,
1.2384008,
1.38072064,
1.5942004
]
},
{
"index_1": [
0.8559814453125
],
"index_2": [
0.717497087294616
],
"index_3": [
0.001
],
"index_4": [
0.3414142,
0.3665442,
0.407428,
0.4686297,
0.5340836
],
"values": [
1.84012475,
1.7741996,
1.7302495,
1.7741996,
1.84012475
]
},
{
"index_1": [
1.0426025390625
],
"index_2": [
0.316765949215861
],
"index_3": [
0.001
],
"index_4": [
0.1532018,
0.168058,
0.1979481,
0.2329347,
0.2919344
],
"values": [
1.79017565,
1.69428104,
1.6303513,
1.69428104,
1.79017565
]
},
{
"index_1": [
1.2292236328125
],
"index_2": [
0.187767628014755
],
"index_3": [
0.001
],
"index_4": [
0.09204876,
0.1032988,
0.1240624,
0.1505112,
0.1992125
],
"values": [
1.7574781,
1.64196496,
1.5649562,
1.64196496,
1.7574781
]
},
{
"index_1": [
1.0426025390625
],
"index_2": [
0.950297847647583
],
"index_3": [
0.001
],
"index_4": [
0.4483392,
0.4919012,
0.5654497,
0.6683344,
0.7438463
],
"values": [
1.55389815,
1.31623704,
1.1577963,
1.31623704,
1.55389815
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.09172861394387
],
"index_2": [
1.9406993983958
],
"index_3": [
0.001
],
"index_4": [
0.8789966,
0.9569514,
1.031255,
1.107228,
1.19674
],
"values": [
0.08301505,
0.13282408,
0.1660301,
0.13282408,
0.08301505
]
},
{
"index_1": [
1.1930419921875
],
"index_2": [
1.41762721588961
],
"index_3": [
0.001
],
"index_4": [
0.6677614,
0.7147093,
0.7773552,
0.8418358,
0.902448
],
"values": [
0.1489615,
0.2383384,
0.297923,
0.2383384,
0.1489615
]
},
{
"index_1": [
1.3625244140625
],
"index_2": [
0.920161802580225
],
"index_3": [
0.001
],
"index_4": [
0.440713,
0.4801373,
0.5425778,
0.6056884,
0.6513726
],
"values": [
0.2800394,
0.44806304,
0.5600788,
0.44806304,
0.2800394
]
},
{
"index_1": [
1.09172861394387
],
"index_2": [
0.970349699197902
],
"index_3": [
0.001
],
"index_4": [
0.4443754,
0.4845806,
0.5233984,
0.5866735,
0.6454157
],
"values": [
0.06545745,
0.10473192,
0.1309149,
0.10473192,
0.06545745
]
},
{
"index_1": [
1.1930419921875
],
"index_2": [
0.708813607944806
],
"index_3": [
0.001
],
"index_4": [
0.3327043,
0.3593904,
0.3995771,
0.4450061,
0.4919436
],
"values": [
0.09604205,
0.15366728,
0.1920841,
0.15366728,
0.09604205
]
},
{
"index_1": [
1.3625244140625
],
"index_2": [
0.460080901290112
],
"index_3": [
0.001
],
"index_4": [
0.2212726,
0.2421655,
0.2788485,
0.3130554,
0.3486084
],
"values": [
0.1586739,
0.25387824,
0.3173478,
0.25387824,
0.1586739
]
},
{
"index_1": [
1.1930419921875
],
"index_2": [
2
],
"index_3": [
0.001
],
"index_4": [
0.9489639,
1.007893,
1.089795,
1.16982,
1.237982
],
"values": [
0.18071235,
0.28913976,
0.3614247,
0.28913976,
0.18071235
]
}
]
},
"stage_type": "both"
},
"clock": "false",
"direction": "input",
"internal_power": {
"fall_power hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.03161,
0.03174,
0.03167,
0.03171,
0.03169,
0.03177,
0.03191,
0.03259,
0.03422,
0.03978,
0.04375,
0.05334,
0.06271
]
},
"rise_power hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.01863,
0.01928,
0.01877,
0.01862,
0.01865,
0.01867,
0.01891,
0.01941,
0.02035,
0.02378,
0.0268,
0.03444,
0.04343
]
}
},
"max_transition": 1.0,
"nextstate_type": "data",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"fall_constraint sethold_template_fall10x10": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.13184,
0.12598,
0.12305,
0.12305,
0.12891,
0.14941,
0.17285,
0.19922,
0.23438,
0.2666
],
[
0.1377,
0.13184,
0.12891,
0.12891,
0.13477,
0.15527,
0.17871,
0.20508,
0.24023,
0.27246
],
[
0.14648,
0.14062,
0.1377,
0.1377,
0.14355,
0.16406,
0.19043,
0.2168,
0.24902,
0.28125
],
[
0.16699,
0.16406,
0.16113,
0.1582,
0.16406,
0.18457,
0.21094,
0.2373,
0.26953,
0.30469
],
[
0.21387,
0.20801,
0.20508,
0.20508,
0.20801,
0.22852,
0.25195,
0.28125,
0.31055,
0.3457
],
[
0.29004,
0.28711,
0.28418,
0.28125,
0.28711,
0.30469,
0.32812,
0.35742,
0.38672,
0.41602
],
[
0.35742,
0.35742,
0.35156,
0.35156,
0.35156,
0.36914,
0.39258,
0.42188,
0.45117,
0.48047
],
[
0.41602,
0.41602,
0.41016,
0.41016,
0.41016,
0.42773,
0.45117,
0.48047,
0.50977,
0.53906
],
[
0.47461,
0.46875,
0.46875,
0.46875,
0.46875,
0.48633,
0.50977,
0.5332,
0.5625,
0.59766
],
[
0.5332,
0.52734,
0.52148,
0.52148,
0.52148,
0.53906,
0.5625,
0.59766,
0.62109,
0.64453
]
]
},
"related_pin": "CLK",
"rise_constraint sethold_template_rise10x10": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.09375,
0.09082,
0.08789,
0.08789,
0.09668,
0.12305,
0.15234,
0.18457,
0.2168,
0.24902
],
[
0.09961,
0.09668,
0.09082,
0.09082,
0.09961,
0.12891,
0.1582,
0.19043,
0.21973,
0.25195
],
[
0.10547,
0.10254,
0.09961,
0.09668,
0.1084,
0.13477,
0.16406,
0.19629,
0.22559,
0.25781
],
[
0.12012,
0.11426,
0.11133,
0.11133,
0.12012,
0.14648,
0.17578,
0.20801,
0.2373,
0.26953
],
[
0.1377,
0.13184,
0.12891,
0.12891,
0.13477,
0.16113,
0.19043,
0.21973,
0.25195,
0.28418
],
[
0.15234,
0.14648,
0.14355,
0.14062,
0.14648,
0.16992,
0.19922,
0.22852,
0.26074,
0.29297
],
[
0.15234,
0.14941,
0.14355,
0.14355,
0.14941,
0.17285,
0.19922,
0.23145,
0.26074,
0.29297
],
[
0.14648,
0.14355,
0.14062,
0.1377,
0.14355,
0.16699,
0.19629,
0.22559,
0.25488,
0.29004
],
[
0.14062,
0.13477,
0.13184,
0.13184,
0.13477,
0.1582,
0.1875,
0.2168,
0.24902,
0.28125
],
[
0.12891,
0.12305,
0.12012,
0.11719,
0.12305,
0.14648,
0.17285,
0.20508,
0.2373,
0.26953
]
]
},
"timing_type": "setup_rising"
},
{
"fall_constraint sethold_template_fall10x10": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.09082,
-0.09082,
-0.08789,
-0.08789,
-0.09375,
-0.10255,
-0.12011,
-0.13476,
-0.14648,
-0.167
],
[
-0.09668,
-0.09668,
-0.09375,
-0.09375,
-0.09961,
-0.10841,
-0.12597,
-0.14062,
-0.15235,
-0.17286
],
[
-0.10548,
-0.10548,
-0.10254,
-0.10254,
-0.10841,
-0.11718,
-0.13183,
-0.14648,
-0.16114,
-0.18165
],
[
-0.12597,
-0.12304,
-0.12011,
-0.12304,
-0.1289,
-0.14355,
-0.15234,
-0.17286,
-0.18751,
-0.19921
],
[
-0.16699,
-0.16699,
-0.16992,
-0.16992,
-0.17285,
-0.1875,
-0.20509,
-0.21679,
-0.23437,
-0.25196
],
[
-0.24316,
-0.23437,
-0.2373,
-0.24023,
-0.24609,
-0.26367,
-0.28126,
-0.28712,
-0.30468,
-0.33398
],
[
-0.28712,
-0.28712,
-0.29298,
-0.29298,
-0.30468,
-0.32226,
-0.3457,
-0.35156,
-0.38087,
-0.39843
],
[
-0.3457,
-0.3457,
-0.33984,
-0.33984,
-0.35156,
-0.38087,
-0.40429,
-0.42187,
-0.43945,
-0.45704
],
[
-0.39257,
-0.39843,
-0.38671,
-0.39843,
-0.41015,
-0.42773,
-0.45117,
-0.47462,
-0.49218,
-0.51562
],
[
-0.43946,
-0.4336,
-0.43946,
-0.43946,
-0.4629,
-0.48048,
-0.5039,
-0.51562,
-0.55079,
-0.57423
]
]
},
"related_pin": "CLK",
"rise_constraint sethold_template_rise10x10": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.04687,
-0.04394,
-0.04687,
-0.05273,
-0.06738,
-0.09375,
-0.1289,
-0.15527,
-0.1875,
-0.21974
],
[
-0.05273,
-0.0498,
-0.0498,
-0.06152,
-0.07031,
-0.09961,
-0.1289,
-0.16113,
-0.19629,
-0.22851
],
[
-0.05859,
-0.05566,
-0.05859,
-0.06738,
-0.0791,
-0.10547,
-0.13476,
-0.16699,
-0.20215,
-0.23437
],
[
-0.07324,
-0.07324,
-0.07031,
-0.07617,
-0.09082,
-0.1172,
-0.14648,
-0.17871,
-0.21388,
-0.24023
],
[
-0.08496,
-0.08496,
-0.08203,
-0.08789,
-0.09961,
-0.12597,
-0.15527,
-0.19043,
-0.22265,
-0.24902
],
[
-0.0879,
-0.0879,
-0.08497,
-0.09376,
-0.10548,
-0.13476,
-0.16406,
-0.19336,
-0.22558,
-0.25781
],
[
-0.0879,
-0.07911,
-0.08497,
-0.08497,
-0.09669,
-0.12597,
-0.1582,
-0.19043,
-0.21972,
-0.25195
],
[
-0.07032,
-0.06739,
-0.06446,
-0.07324,
-0.08497,
-0.12011,
-0.14941,
-0.17871,
-0.21388,
-0.24316
],
[
-0.05274,
-0.05273,
-0.0498,
-0.0498,
-0.07031,
-0.09962,
-0.13476,
-0.16406,
-0.1963,
-0.22851
],
[
-0.02929,
-0.02929,
-0.02636,
-0.03515,
-0.04687,
-0.08204,
-0.12011,
-0.15234,
-0.18458,
-0.21679
]
]
},
"timing_type": "hold_rising"
}
]
},
"pin Q": {
"ccsn_last_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"index_2": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"values": [
[
8.23131,
0.928693,
0.642576,
0.60565,
0.565391,
0.544018,
0.521818,
0.498809,
0.475007,
0.450426,
0.425082,
0.398993,
0.372175,
0.344645,
0.316421,
0.287521,
0.257963,
0.227766,
0.196947,
0.165525,
0.133517,
0.100943,
0.0678206,
0.0341668,
-2.30382e-09,
-0.0665006,
-0.129847,
-0.352044,
-3.76775
],
[
8.14987,
0.874351,
0.611092,
0.585244,
0.55784,
0.543277,
0.527908,
0.511495,
0.493783,
0.474573,
0.453768,
0.431333,
0.407267,
0.381579,
0.354287,
0.325416,
0.294991,
0.263044,
0.22961,
0.194726,
0.158431,
0.120767,
0.0817773,
0.0415063,
-8.60145e-09,
-0.0810685,
-0.157723,
-0.425091,
-3.78468
],
[
8.02765,
0.754295,
0.505715,
0.486749,
0.467095,
0.45693,
0.446476,
0.435663,
0.424392,
0.412519,
0.399831,
0.386008,
0.370609,
0.35317,
0.333396,
0.311169,
0.286444,
0.259209,
0.229467,
0.197234,
0.162537,
0.125413,
0.0859107,
0.0440849,
-1.83337e-09,
-0.0877016,
-0.171563,
-0.459103,
-3.79337
],
[
7.98953,
0.720545,
0.452761,
0.436165,
0.41903,
0.41021,
0.401181,
0.391901,
0.382309,
0.372323,
0.361821,
0.350617,
0.338425,
0.324802,
0.309175,
0.291058,
0.270202,
0.246508,
0.219931,
0.190451,
0.158066,
0.122793,
0.0846589,
0.043709,
-1.83337e-09,
-0.0881532,
-0.173443,
-0.465832,
-3.7952
],
[
7.94066,
0.719806,
0.391858,
0.377556,
0.362867,
0.355334,
0.34765,
0.339791,
0.331721,
0.323392,
0.314736,
0.305655,
0.295995,
0.285515,
0.273815,
0.260283,
0.244233,
0.225214,
0.203023,
0.177559,
0.148767,
0.116615,
0.0810921,
0.0422101,
-2.1228e-09,
-0.0869181,
-0.172649,
-0.468062,
-3.79631
],
[
7.91281,
0.72276,
0.359268,
0.345767,
0.332253,
0.325336,
0.318292,
0.311102,
0.303739,
0.296168,
0.288339,
0.280179,
0.27158,
0.262373,
0.252277,
0.240814,
0.227271,
0.210929,
0.191372,
0.168409,
0.141936,
0.111887,
0.0782217,
0.0409234,
-7.99572e-09,
-0.0854647,
-0.17091,
-0.466921,
-3.79649
],
[
7.88354,
0.723627,
0.32816,
0.312743,
0.300358,
0.294032,
0.287602,
0.281052,
0.274362,
0.267506,
0.260447,
0.253134,
0.24549,
0.237398,
0.228669,
0.218974,
0.20774,
0.194142,
0.177453,
0.157298,
0.133494,
0.105928,
0.0745196,
0.0392185,
-1.27865e-07,
-0.0833393,
-0.16808,
-0.463929,
-3.79635
],
[
7.85348,
0.722104,
0.307995,
0.278966,
0.267574,
0.261814,
0.25597,
0.250028,
0.243976,
0.237793,
0.231453,
0.224921,
0.218142,
0.211038,
0.203482,
0.195262,
0.185991,
0.174974,
0.161271,
0.144183,
0.123375,
0.0986642,
0.0699227,
0.0370557,
-2.55043e-06,
-0.0804616,
-0.16401,
-0.45882,
-3.79593
],
[
7.82326,
0.718958,
0.301377,
0.245885,
0.23437,
0.229146,
0.223857,
0.218491,
0.21304,
0.207488,
0.201819,
0.196006,
0.190014,
0.18379,
0.177252,
0.170266,
0.162594,
0.153775,
0.142949,
0.129087,
0.111553,
0.0900427,
0.0643651,
0.0343732,
-4.84748e-05,
-0.0768128,
-0.158633,
-0.451488,
-3.79631
],
[
7.7935,
0.715223,
0.299712,
0.220261,
0.20128,
0.196534,
0.191759,
0.186927,
0.18203,
0.177058,
0.172,
0.166837,
0.161546,
0.156092,
0.150424,
0.144459,
0.138057,
0.130951,
0.122571,
0.111856,
0.0977436,
0.0796802,
0.0573781,
0.0306284,
-0.000742542,
-0.0731108,
-0.152813,
-0.443779,
-3.80732
],
[
7.76479,
0.711877,
0.299506,
0.207958,
0.168895,
0.164147,
0.159725,
0.155269,
0.150759,
0.146185,
0.141536,
0.136799,
0.131958,
0.12699,
0.121862,
0.116525,
0.110898,
0.104836,
0.0980348,
0.0897643,
0.0787469,
0.0639696,
0.0449567,
0.0214195,
-0.00687954,
-0.0749394,
-0.152976,
-0.445552,
-3.85249
],
[
7.73771,
0.709768,
0.300702,
0.201493,
0.13784,
0.129031,
0.123755,
0.118851,
0.113982,
0.109084,
0.104131,
0.0991056,
0.0939963,
0.0887884,
0.0834632,
0.0779939,
0.0723385,
0.0664247,
0.0601098,
0.0530407,
0.0442607,
0.0323215,
0.0163347,
-0.00411975,
-0.0293487,
-0.0929906,
-0.169334,
-0.464185,
-3.9257
],
[
7.71278,
0.709611,
0.303712,
0.196492,
0.108751,
0.0875518,
0.077151,
0.070321,
0.0643037,
0.0585702,
0.0529609,
0.0474074,
0.0418734,
0.0363349,
0.0307728,
0.0251675,
0.0194944,
0.0137173,
0.00777208,
0.00151833,
-0.00547481,
-0.0144631,
-0.0269594,
-0.0437039,
-0.0650979,
-0.122638,
-0.19567,
-0.488651,
-4.00388
],
[
7.69049,
0.711999,
0.30887,
0.192587,
0.082239,
0.0466484,
0.0268763,
0.0166201,
0.0090982,
0.00251688,
-0.00361884,
-0.0095021,
-0.0152266,
-0.0208452,
-0.0263916,
-0.0318902,
-0.037362,
-0.0428293,
-0.048322,
-0.0538926,
-0.059676,
-0.066217,
-0.0750966,
-0.0877544,
-0.1048,
-0.155011,
-0.223525,
-0.511545,
-4.08008
],
[
7.67121,
0.717402,
0.316404,
0.190069,
0.0588615,
0.0100976,
-0.0204332,
-0.0355826,
-0.0450208,
-0.0525608,
-0.0592311,
-0.0654106,
-0.0712746,
-0.0769174,
-0.0823948,
-0.0877431,
-0.0929874,
-0.0981473,
-0.103241,
-0.108289,
-0.11333,
-0.118488,
-0.124453,
-0.133088,
-0.145633,
-0.187805,
-0.250948,
-0.531729,
-4.15371
],
[
7.65525,
0.726167,
0.326441,
0.189233,
0.0390863,
-0.0214949,
-0.0628609,
-0.0842435,
-0.0960802,
-0.104743,
-0.112009,
-0.118504,
-0.124509,
-0.130169,
-0.135569,
-0.140761,
-0.14578,
-0.15065,
-0.155387,
-0.160006,
-0.164522,
-0.168959,
-0.173426,
-0.178797,
-0.187072,
-0.220789,
-0.277843,
-0.549574,
-4.22474
],
[
7.64281,
0.738506,
0.338985,
0.190342,
0.0232745,
-0.0478765,
-0.0996309,
-0.128393,
-0.143188,
-0.153157,
-0.161083,
-0.167911,
-0.17405,
-0.179712,
-0.185014,
-0.190031,
-0.19481,
-0.199386,
-0.203781,
-0.208014,
-0.212102,
-0.216061,
-0.219916,
-0.223786,
-0.228859,
-0.254052,
-0.304415,
-0.565818,
-4.29306
],
[
7.63397,
0.754505,
0.353915,
0.193627,
0.0116797,
-0.0688359,
-0.130248,
-0.167245,
-0.185663,
-0.197157,
-0.205816,
-0.212998,
-0.219276,
-0.224937,
-0.230147,
-0.235006,
-0.239585,
-0.243933,
-0.248087,
-0.252078,
-0.255931,
-0.25967,
-0.263321,
-0.266919,
-0.270765,
-0.288054,
-0.331168,
-0.581278,
-4.3586
],
[
7.62871,
0.774136,
0.370995,
0.199265,
0.00441998,
-0.0843042,
-0.154514,
-0.200259,
-0.22314,
-0.236498,
-0.24607,
-0.253746,
-0.260303,
-0.266124,
-0.271427,
-0.276346,
-0.280972,
-0.285367,
-0.289576,
-0.293634,
-0.297568,
-0.301402,
-0.305159,
-0.308867,
-0.312611,
-0.324121,
-0.359036,
-0.596717,
-4.42131
],
[
7.62694,
0.79728,
0.389795,
0.207161,
0.00111452,
-0.0948385,
-0.173065,
-0.227823,
-0.256301,
-0.272144,
-0.283043,
-0.29156,
-0.298717,
-0.305005,
-0.310696,
-0.315952,
-0.320879,
-0.325549,
-0.330014,
-0.334312,
-0.338473,
-0.342521,
-0.346481,
-0.350382,
-0.354271,
-0.363191,
-0.389044,
-0.612816,
-4.4812
],
[
7.6285,
0.823609,
0.409174,
0.216239,
0.000211029,
-0.10221,
-0.18779,
-0.251504,
-0.286802,
-0.305856,
-0.318492,
-0.328131,
-0.336101,
-0.343023,
-0.349232,
-0.354928,
-0.360238,
-0.365248,
-0.370019,
-0.374595,
-0.379012,
-0.383297,
-0.387478,
-0.391584,
-0.395657,
-0.404119,
-0.421926,
-0.630152,
-4.53835
],
[
7.63319,
0.852033,
0.427202,
0.224847,
4.11575e-05,
-0.108053,
-0.20021,
-0.272342,
-0.315433,
-0.338396,
-0.353086,
-0.364016,
-0.372902,
-0.380526,
-0.387303,
-0.393474,
-0.399193,
-0.404562,
-0.409654,
-0.41452,
-0.419201,
-0.423729,
-0.428135,
-0.43245,
-0.436714,
-0.445371,
-0.45803,
-0.649186,
-4.59285
],
[
7.64039,
0.880848,
0.442742,
0.232284,
8.6954e-06,
-0.112937,
-0.210852,
-0.290571,
-0.342114,
-0.369767,
-0.386855,
-0.399243,
-0.409137,
-0.417522,
-0.424905,
-0.431578,
-0.437726,
-0.44347,
-0.448893,
-0.454058,
-0.45901,
-0.463787,
-0.468422,
-0.472948,
-0.477408,
-0.486381,
-0.496928,
-0.670242,
-4.64483
],
[
7.64883,
0.909083,
0.455658,
0.238512,
1.88801e-06,
-0.117034,
-0.219928,
-0.306305,
-0.366548,
-0.39979,
-0.419701,
-0.433745,
-0.444758,
-0.453968,
-0.462,
-0.469207,
-0.475806,
-0.48194,
-0.487709,
-0.493182,
-0.498414,
-0.503447,
-0.508316,
-0.513058,
-0.517716,
-0.527029,
-0.537196,
-0.693502,
-4.69442
],
[
7.65742,
0.936427,
0.466159,
0.243637,
4.11206e-07,
-0.12044,
-0.227597,
-0.319715,
-0.388437,
-0.428231,
-0.451493,
-0.467442,
-0.479702,
-0.489817,
-0.49855,
-0.506325,
-0.513401,
-0.519946,
-0.526076,
-0.531871,
-0.537392,
-0.542688,
-0.547799,
-0.552762,
-0.557623,
-0.567285,
-0.57759,
-0.719015,
-4.74176
],
[
7.67401,
0.987789,
0.481098,
0.251052,
2.32653e-08,
-0.125491,
-0.239289,
-0.340435,
-0.423872,
-0.479228,
-0.511289,
-0.53204,
-0.547312,
-0.559536,
-0.569864,
-0.57891,
-0.587037,
-0.594478,
-0.601385,
-0.607869,
-0.614009,
-0.619864,
-0.625484,
-0.630913,
-0.636198,
-0.646585,
-0.657399,
-0.776448,
-4.83013
],
[
7.68947,
1.03361,
0.48979,
0.255409,
1.63224e-08,
-0.12864,
-0.24699,
-0.354493,
-0.448572,
-0.520315,
-0.564678,
-0.59215,
-0.611402,
-0.626283,
-0.638547,
-0.649094,
-0.658439,
-0.666899,
-0.674682,
-0.681933,
-0.688753,
-0.695221,
-0.701396,
-0.707331,
-0.713075,
-0.724237,
-0.735607,
-0.841127,
-4.91068
],
[
7.72713,
1.11976,
0.493228,
0.256673,
5.77263e-08,
-0.13073,
-0.254326,
-0.3706,
-0.479192,
-0.57894,
-0.665578,
-0.730636,
-0.773664,
-0.80304,
-0.824909,
-0.842368,
-0.857005,
-0.869706,
-0.881008,
-0.891254,
-0.900678,
-0.909445,
-0.917677,
-0.925465,
-0.932884,
-0.946881,
-0.960291,
-1.05527,
-5.11017
],
[
7.74178,
1.09408,
0.466773,
0.241581,
2.29718e-07,
-0.123475,
-0.242724,
-0.357672,
-0.46824,
-0.574343,
-0.675851,
-0.772492,
-0.863521,
-0.946708,
-1.01712,
-1.07093,
-1.11065,
-1.14102,
-1.16541,
-1.18583,
-1.20347,
-1.21906,
-1.23311,
-1.24595,
-1.25782,
-1.27931,
-1.29857,
-1.39498,
-5.33401
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000723,
"miller_cap_rise": 0.000598,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00857881538461538
],
"index_3": [
0.01334325,
0.02052994,
0.02802165,
0.03615788,
0.04729179
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0257364461538462
],
"index_3": [
0.01950273,
0.03917004,
0.05976504,
0.08206773,
0.1118059
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00857881538461538
],
"index_3": [
0.05890145,
0.07421655,
0.08538716,
0.09522059,
0.1065919
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0257364461538462
],
"index_3": [
0.0723536,
0.09828078,
0.118936,
0.1412055,
0.1711083
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00558256923076923
],
"index_3": [
0.01487777,
0.02287056,
0.03188825,
0.04311241,
0.06397188
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0167477076923077
],
"index_3": [
0.02148323,
0.04261455,
0.06647491,
0.09593628,
0.1483748
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00558256923076923
],
"index_3": [
0.06755108,
0.08340256,
0.09522729,
0.1069232,
0.1277459
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0167477076923077
],
"index_3": [
0.08000829,
0.1056666,
0.1294377,
0.1588808,
0.2113808
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.8940673828125
],
"index_2": [
0.631805616087123
],
"index_3": [
0.00857881538461538
],
"index_4": [
0.3019868,
0.3243199,
0.3618917,
0.3952997,
0.4241686
],
"values": [
1.711307,
1.5680912,
1.472614,
1.5680912,
1.711307
]
},
{
"index_1": [
1.0921142578125
],
"index_2": [
0.275633992192881
],
"index_3": [
0.00857881538461538
],
"index_4": [
0.1347885,
0.1497091,
0.1770725,
0.2028751,
0.2251381
],
"values": [
1.56517815,
1.33428504,
1.1803563,
1.33428504,
1.56517815
]
},
{
"index_1": [
1.2863525390625
],
"index_2": [
0.164565389087319
],
"index_3": [
0.00857881538461538
],
"index_4": [
0.08209075,
0.09376782,
0.1109348,
0.1363806,
0.1553805
],
"values": [
1.4975229,
1.22603664,
1.0450458,
1.22603664,
1.4975229
]
},
{
"index_1": [
0.8940673828125
],
"index_2": [
0.315902808043561
],
"index_3": [
0.00857881538461538
],
"index_4": [
0.1536872,
0.1657545,
0.1842976,
0.2093858,
0.2309068
],
"values": [
1.80170025,
1.7127204,
1.6534005,
1.7127204,
1.80170025
]
},
{
"index_1": [
1.2863525390625
],
"index_2": [
0.0822826945436593
],
"index_3": [
0.00857881538461538
],
"index_4": [
0.04302457,
0.04912644,
0.05876627,
0.07381566,
0.08880918
],
"values": [
1.71817125,
1.579074,
1.4863425,
1.579074,
1.71817125
]
},
{
"index_1": [
1.0921142578125
],
"index_2": [
0.275633992192881
],
"index_3": [
0.0257364461538462
],
"index_4": [
0.1365627,
0.1535726,
0.1840703,
0.2191823,
0.2605164
],
"values": [
1.78667245,
1.68867592,
1.6233449,
1.68867592,
1.78667245
]
},
{
"index_1": [
1.2863525390625
],
"index_2": [
0.164565389087319
],
"index_3": [
0.0257364461538462
],
"index_4": [
0.08350487,
0.09634228,
0.1183651,
0.1493638,
0.1843231
],
"values": [
1.76875485,
1.66000776,
1.5875097,
1.66000776,
1.76875485
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.05610881575787
],
"index_2": [
0.585424904422793
],
"index_3": [
0.00558256923076923
],
"index_4": [
0.2723471,
0.2922405,
0.307655,
0.3283809,
0.3478969
],
"values": [
0.08695885,
0.13913416,
0.1739177,
0.13913416,
0.08695885
]
},
{
"index_1": [
1.2311279296875
],
"index_2": [
0.325260570727567
],
"index_3": [
0.00558256923076923
],
"index_4": [
0.1580363,
0.1689301,
0.183464,
0.2044698,
0.2168883
],
"values": [
0.2408809,
0.38540944,
0.4817618,
0.38540944,
0.2408809
]
},
{
"index_1": [
1.4025146484375
],
"index_2": [
0.208998055562864
],
"index_3": [
0.00558256923076923
],
"index_4": [
0.1027713,
0.1130999,
0.1296598,
0.1460778,
0.1570267
],
"values": [
0.3764268,
0.60228288,
0.7528536,
0.60228288,
0.3764268
]
},
{
"index_1": [
1.05610881575787
],
"index_2": [
0.292712452211397
],
"index_3": [
0.00558256923076923
],
"index_4": [
0.1377739,
0.1483078,
0.1588774,
0.1727348,
0.1853709
],
"values": [
0.0687186,
0.10994976,
0.1374372,
0.10994976,
0.0687186
]
},
{
"index_1": [
1.4025146484375
],
"index_2": [
0.104499027781432
],
"index_3": [
0.00558256923076923
],
"index_4": [
0.05297571,
0.05823565,
0.06721705,
0.07613585,
0.08288849
],
"values": [
0.20753415,
0.33205464,
0.4150683,
0.33205464,
0.20753415
]
},
{
"index_1": [
1.2311279296875
],
"index_2": [
0.325260570727567
],
"index_3": [
0.0167477076923077
],
"index_4": [
0.1566887,
0.1703552,
0.1944754,
0.2144287,
0.2326969
],
"values": [
0.12070595,
0.19312952,
0.2414119,
0.19312952,
0.12070595
]
},
{
"index_1": [
1.4025146484375
],
"index_2": [
0.208998055562864
],
"index_3": [
0.0167477076923077
],
"index_4": [
0.10333,
0.1148805,
0.1343179,
0.1521185,
0.1668146
],
"values": [
0.16769415,
0.26831064,
0.3353883,
0.26831064,
0.16769415
]
}
]
},
"stage_type": "both"
},
"direction": "output",
"function": "IQ",
"internal_power": [
{
"fall_power pwr_template13x18": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00904,
0.0113,
0.01412,
0.01765,
0.02206,
0.02758,
0.03447,
0.04309,
0.05386,
0.06733,
0.08416,
0.1052,
0.1315,
0.16437,
0.20546,
0.25683,
0.32104
],
"values": [
[
0.08941,
0.10598,
0.11018,
0.11553,
0.12221,
0.13055,
0.14104,
0.15401,
0.17018,
0.19062,
0.2159,
0.24756,
0.28687,
0.33656,
0.39842,
0.47526,
0.57215,
0.69217
],
[
0.08906,
0.10562,
0.10984,
0.11517,
0.12186,
0.13024,
0.14066,
0.15359,
0.1698,
0.19026,
0.21549,
0.24727,
0.28703,
0.33625,
0.39803,
0.47513,
0.57236,
0.6929
],
[
0.08882,
0.10538,
0.10962,
0.11497,
0.12166,
0.13,
0.14037,
0.15341,
0.16957,
0.19002,
0.21531,
0.24714,
0.2867,
0.336,
0.39819,
0.47507,
0.57204,
0.69263
],
[
0.08862,
0.10518,
0.10942,
0.11474,
0.12144,
0.12977,
0.14018,
0.15318,
0.16942,
0.18982,
0.21517,
0.24681,
0.28634,
0.33606,
0.3975,
0.47487,
0.57196,
0.69303
],
[
0.08855,
0.1051,
0.10935,
0.1147,
0.12134,
0.12968,
0.14017,
0.15311,
0.16932,
0.18965,
0.21489,
0.24667,
0.28626,
0.33575,
0.39748,
0.47484,
0.57123,
0.69293
],
[
0.08879,
0.10536,
0.10956,
0.11489,
0.12157,
0.12994,
0.14038,
0.1534,
0.16956,
0.19,
0.21526,
0.24704,
0.28635,
0.33624,
0.39794,
0.47455,
0.57145,
0.69172
],
[
0.08969,
0.10621,
0.11043,
0.11581,
0.12247,
0.13079,
0.14129,
0.1542,
0.17043,
0.1908,
0.2161,
0.24789,
0.28743,
0.33699,
0.39842,
0.47607,
0.57247,
0.69338
],
[
0.0911,
0.1076,
0.1118,
0.11714,
0.12384,
0.13214,
0.14265,
0.15564,
0.17174,
0.19223,
0.21751,
0.24938,
0.289,
0.33842,
0.40004,
0.4766,
0.57434,
0.69536
],
[
0.09407,
0.11053,
0.11477,
0.12008,
0.12676,
0.13506,
0.14544,
0.15849,
0.17462,
0.19512,
0.22057,
0.2521,
0.29173,
0.34134,
0.40321,
0.48029,
0.57655,
0.69844
],
[
0.09937,
0.11582,
0.12004,
0.12532,
0.13201,
0.14033,
0.15079,
0.16367,
0.17989,
0.20011,
0.22573,
0.25733,
0.29685,
0.34605,
0.40818,
0.485,
0.58112,
0.70239
],
[
0.10796,
0.12433,
0.12857,
0.13393,
0.14058,
0.14886,
0.15927,
0.17216,
0.18839,
0.20862,
0.23393,
0.2657,
0.30524,
0.35486,
0.41691,
0.4935,
0.59053,
0.71171
],
[
0.12144,
0.13769,
0.14186,
0.14724,
0.15392,
0.1623,
0.17272,
0.18573,
0.20192,
0.22207,
0.24739,
0.27891,
0.31864,
0.36808,
0.43008,
0.50681,
0.60322,
0.72515
],
[
0.1421,
0.15824,
0.16243,
0.1677,
0.17435,
0.18265,
0.19315,
0.20623,
0.22246,
0.24281,
0.26828,
0.29974,
0.33922,
0.38841,
0.44991,
0.52756,
0.62454,
0.74571
]
]
},
"related_pin": "RESET_B",
"rise_power scalar": {
"values": [
0.0
]
}
},
{
"fall_power pwr_template13x18": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00904,
0.0113,
0.01412,
0.01765,
0.02206,
0.02758,
0.03447,
0.04309,
0.05386,
0.06733,
0.08416,
0.1052,
0.1315,
0.16437,
0.20546,
0.25683,
0.32104
],
"values": [
[
0.06352,
0.08036,
0.0846,
0.08999,
0.09664,
0.10502,
0.11546,
0.12844,
0.1448,
0.16516,
0.19051,
0.22223,
0.26204,
0.31152,
0.37324,
0.45066,
0.54737,
0.66825
],
[
0.06341,
0.08024,
0.08447,
0.08988,
0.09661,
0.10498,
0.11538,
0.12848,
0.14465,
0.16502,
0.19051,
0.22196,
0.26179,
0.31115,
0.37338,
0.4503,
0.54671,
0.66799
],
[
0.06333,
0.08016,
0.08441,
0.08981,
0.09649,
0.10493,
0.11529,
0.12826,
0.14465,
0.16479,
0.19047,
0.22177,
0.2614,
0.31134,
0.37281,
0.44985,
0.54594,
0.66721
],
[
0.06336,
0.08017,
0.08447,
0.08979,
0.09651,
0.10491,
0.11529,
0.12835,
0.14472,
0.16498,
0.19034,
0.2219,
0.26144,
0.31097,
0.37293,
0.44953,
0.54725,
0.66789
],
[
0.06351,
0.08033,
0.08462,
0.08998,
0.09668,
0.10508,
0.11542,
0.1285,
0.14463,
0.16519,
0.19046,
0.22231,
0.26191,
0.31079,
0.3731,
0.44971,
0.54619,
0.66791
],
[
0.06394,
0.08075,
0.085,
0.09036,
0.09707,
0.10552,
0.11596,
0.1289,
0.14528,
0.16557,
0.19104,
0.22253,
0.26232,
0.31145,
0.37376,
0.45099,
0.54658,
0.66879
],
[
0.06477,
0.08156,
0.08585,
0.09119,
0.09793,
0.10631,
0.11667,
0.12981,
0.14593,
0.16634,
0.19181,
0.22337,
0.26294,
0.31234,
0.37439,
0.45102,
0.5478,
0.66983
],
[
0.06627,
0.08308,
0.08735,
0.09276,
0.09942,
0.10779,
0.1182,
0.13129,
0.14755,
0.16782,
0.19298,
0.22474,
0.26438,
0.31363,
0.37565,
0.45332,
0.55013,
0.6701
],
[
0.06891,
0.08577,
0.09001,
0.09536,
0.10205,
0.11035,
0.12082,
0.13369,
0.15012,
0.17032,
0.19601,
0.2276,
0.26734,
0.31674,
0.37815,
0.45611,
0.55188,
0.67409
],
[
0.07381,
0.0906,
0.09492,
0.1003,
0.10703,
0.11538,
0.12581,
0.13876,
0.15495,
0.17518,
0.20058,
0.23248,
0.27203,
0.32145,
0.38333,
0.46008,
0.55645,
0.67873
],
[
0.08238,
0.09915,
0.10342,
0.10876,
0.11558,
0.124,
0.13448,
0.14742,
0.16377,
0.18393,
0.20931,
0.2408,
0.28032,
0.32992,
0.392,
0.46947,
0.5655,
0.68553
],
[
0.09636,
0.11311,
0.11733,
0.12268,
0.12938,
0.13779,
0.1482,
0.16124,
0.17777,
0.19808,
0.22352,
0.25516,
0.29453,
0.34377,
0.40514,
0.4833,
0.57998,
0.70099
],
[
0.11831,
0.13502,
0.13922,
0.14456,
0.15127,
0.15956,
0.17,
0.18304,
0.19923,
0.21984,
0.24528,
0.27692,
0.31684,
0.3661,
0.42775,
0.50478,
0.60132,
0.72178
]
]
},
"related_pin": "CLK",
"rise_power pwr_template13x18": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00904,
0.0113,
0.01412,
0.01765,
0.02206,
0.02758,
0.03447,
0.04309,
0.05386,
0.06733,
0.08416,
0.1052,
0.1315,
0.16437,
0.20546,
0.25683,
0.32104
],
"values": [
[
0.06411,
0.0795,
0.08378,
0.08912,
0.09573,
0.10402,
0.11442,
0.12746,
0.14361,
0.16388,
0.18932,
0.22077,
0.26028,
0.30962,
0.37179,
0.44914,
0.54599,
0.66698
],
[
0.06392,
0.07931,
0.08355,
0.08892,
0.0956,
0.1039,
0.11422,
0.12737,
0.14354,
0.16387,
0.18898,
0.22064,
0.26036,
0.30965,
0.37122,
0.44872,
0.5453,
0.66692
],
[
0.06388,
0.07928,
0.08353,
0.08886,
0.0955,
0.10391,
0.11431,
0.12717,
0.14344,
0.16383,
0.18905,
0.22075,
0.26033,
0.30964,
0.37142,
0.44889,
0.54521,
0.66711
],
[
0.06386,
0.07922,
0.08352,
0.08886,
0.09549,
0.10384,
0.11429,
0.1272,
0.14341,
0.16361,
0.18915,
0.22085,
0.2603,
0.30953,
0.37181,
0.44922,
0.54512,
0.66583
],
[
0.06397,
0.07932,
0.0836,
0.08892,
0.09564,
0.10392,
0.11438,
0.12736,
0.14346,
0.16381,
0.1892,
0.22097,
0.26018,
0.30964,
0.3717,
0.44866,
0.54579,
0.66594
],
[
0.06431,
0.07968,
0.08394,
0.08926,
0.09598,
0.1043,
0.11466,
0.12763,
0.14392,
0.16417,
0.18948,
0.22117,
0.2609,
0.31015,
0.37179,
0.44895,
0.54548,
0.6669
],
[
0.06509,
0.08043,
0.0847,
0.08998,
0.09668,
0.10502,
0.11544,
0.12842,
0.1447,
0.16489,
0.19021,
0.22206,
0.26167,
0.31074,
0.37277,
0.45007,
0.54631,
0.66722
],
[
0.06656,
0.08188,
0.08609,
0.09148,
0.09817,
0.10649,
0.11685,
0.12978,
0.14603,
0.16643,
0.19158,
0.22349,
0.26313,
0.31224,
0.37389,
0.4514,
0.54778,
0.66919
],
[
0.0692,
0.08451,
0.08874,
0.09405,
0.1007,
0.10901,
0.11943,
0.13245,
0.14866,
0.16885,
0.19419,
0.22594,
0.26554,
0.31511,
0.37711,
0.45386,
0.55048,
0.67155
],
[
0.07427,
0.08945,
0.09375,
0.09905,
0.10578,
0.11409,
0.12443,
0.13736,
0.15352,
0.17379,
0.19925,
0.23073,
0.27023,
0.31992,
0.3816,
0.45904,
0.55529,
0.67634
],
[
0.08314,
0.09814,
0.10242,
0.10774,
0.11446,
0.12276,
0.13326,
0.14619,
0.16239,
0.1826,
0.20787,
0.23943,
0.27924,
0.32826,
0.39003,
0.46723,
0.56454,
0.68462
],
[
0.09757,
0.11236,
0.11659,
0.12191,
0.12849,
0.13687,
0.14728,
0.16045,
0.17677,
0.1971,
0.22245,
0.25399,
0.29336,
0.34252,
0.40411,
0.48162,
0.57821,
0.69895
],
[
0.12039,
0.13483,
0.13907,
0.14428,
0.15091,
0.15923,
0.16953,
0.1826,
0.19876,
0.21913,
0.24463,
0.2764,
0.3161,
0.36565,
0.42709,
0.50394,
0.60113,
0.7219
]
]
}
}
],
"max_capacitance": 0.2137,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"cell_fall delay_template13x18": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00904,
0.0113,
0.01412,
0.01765,
0.02206,
0.02758,
0.03447,
0.04309,
0.05386,
0.06733,
0.08416,
0.1052,
0.1315,
0.16437,
0.20546,
0.25683,
0.32104
],
"values": [
[
0.15059,
0.17445,
0.17906,
0.18462,
0.1914,
0.19972,
0.21001,
0.22274,
0.23864,
0.25843,
0.28317,
0.31413,
0.35276,
0.40119,
0.46147,
0.53704,
0.63175,
0.74959
],
[
0.15279,
0.17664,
0.18125,
0.18681,
0.19359,
0.2019,
0.21217,
0.22494,
0.24079,
0.26068,
0.28542,
0.31644,
0.35496,
0.40343,
0.46369,
0.53925,
0.63381,
0.75175
],
[
0.15579,
0.17965,
0.18426,
0.18982,
0.1966,
0.20491,
0.21519,
0.22793,
0.24382,
0.26361,
0.28842,
0.31934,
0.358,
0.40627,
0.46678,
0.54233,
0.63675,
0.75483
],
[
0.1607,
0.18457,
0.18918,
0.19473,
0.20151,
0.20983,
0.22012,
0.23286,
0.24876,
0.26859,
0.2933,
0.32427,
0.36296,
0.41131,
0.47164,
0.54705,
0.64153,
0.75979
],
[
0.16853,
0.19239,
0.197,
0.20256,
0.20934,
0.21765,
0.22794,
0.24065,
0.25658,
0.2764,
0.3011,
0.33207,
0.37078,
0.41911,
0.47952,
0.55505,
0.64939,
0.76767
],
[
0.18044,
0.2043,
0.20891,
0.21447,
0.22125,
0.22956,
0.23985,
0.25259,
0.26849,
0.28829,
0.31304,
0.34401,
0.38263,
0.43099,
0.49134,
0.56694,
0.66132,
0.77942
],
[
0.1975,
0.22137,
0.22598,
0.23154,
0.23832,
0.24663,
0.25691,
0.26963,
0.28555,
0.30543,
0.33009,
0.36112,
0.39968,
0.4481,
0.50849,
0.58391,
0.67852,
0.7966
],
[
0.22037,
0.24424,
0.24885,
0.25441,
0.26118,
0.2695,
0.27978,
0.2925,
0.30843,
0.32821,
0.35292,
0.38391,
0.42256,
0.47099,
0.53135,
0.60696,
0.70095,
0.81952
],
[
0.25043,
0.2743,
0.27887,
0.28446,
0.29125,
0.29951,
0.30982,
0.32254,
0.3384,
0.3583,
0.383,
0.41392,
0.45254,
0.50095,
0.56132,
0.63677,
0.73138,
0.84935
],
[
0.29096,
0.31485,
0.31946,
0.32502,
0.3318,
0.34011,
0.3504,
0.36314,
0.37897,
0.39877,
0.42354,
0.45444,
0.49316,
0.54154,
0.60197,
0.67749,
0.77199,
0.88999
],
[
0.34666,
0.37058,
0.37519,
0.38075,
0.38753,
0.39585,
0.40613,
0.41885,
0.43476,
0.45452,
0.47922,
0.51022,
0.54882,
0.59712,
0.65762,
0.73312,
0.82752,
0.94532
],
[
0.42467,
0.44867,
0.45327,
0.45887,
0.46557,
0.47397,
0.48417,
0.49697,
0.51287,
0.53267,
0.55747,
0.58847,
0.62707,
0.67537,
0.73577,
0.81117,
0.90537,
1.02317
],
[
0.5354,
0.5594,
0.564,
0.5696,
0.5763,
0.5847,
0.5949,
0.6077,
0.6236,
0.6434,
0.6681,
0.6991,
0.7377,
0.786,
0.8463,
0.9219,
1.0165,
1.1341
]
]
},
"fall_transition delay_template13x18": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00904,
0.0113,
0.01412,
0.01765,
0.02206,
0.02758,
0.03447,
0.04309,
0.05386,
0.06733,
0.08416,
0.1052,
0.1315,
0.16437,
0.20546,
0.25683,
0.32104
],
"values": [
[
0.00896,
0.02934,
0.0343,
0.04056,
0.04853,
0.05862,
0.07144,
0.08767,
0.10814,
0.13375,
0.16611,
0.20661,
0.25732,
0.32024,
0.39989,
0.49862,
0.62285,
0.77718
],
[
0.00894,
0.02935,
0.03428,
0.04057,
0.04854,
0.05864,
0.07146,
0.08768,
0.10807,
0.13375,
0.16615,
0.20645,
0.25718,
0.32056,
0.39978,
0.49887,
0.62279,
0.77716
],
[
0.00903,
0.02935,
0.03431,
0.04057,
0.04852,
0.05863,
0.07145,
0.08767,
0.10814,
0.13378,
0.16613,
0.20666,
0.25727,
0.32062,
0.39969,
0.49908,
0.62242,
0.77721
],
[
0.00896,
0.02934,
0.0343,
0.04057,
0.04854,
0.05864,
0.07147,
0.08767,
0.10814,
0.13378,
0.16615,
0.20665,
0.25722,
0.32062,
0.39997,
0.49885,
0.62235,
0.77752
],
[
0.00897,
0.02934,
0.03431,
0.04057,
0.04852,
0.05863,
0.07145,
0.08766,
0.10813,
0.13382,
0.16608,
0.20665,
0.25708,
0.32025,
0.39965,
0.49833,
0.62258,
0.77702
],
[
0.00897,
0.02935,
0.03431,
0.04056,
0.04852,
0.05863,
0.07144,
0.08767,
0.10813,
0.13378,
0.16612,
0.20664,
0.25714,
0.3203,
0.39969,
0.49907,
0.62266,
0.77718
],
[
0.00897,
0.02934,
0.03431,
0.04058,
0.04854,
0.05866,
0.07147,
0.08766,
0.10811,
0.13379,
0.16612,
0.20642,
0.25726,
0.32028,
0.39982,
0.49892,
0.62257,
0.77707
],
[
0.00902,
0.02934,
0.03431,
0.04056,
0.04852,
0.05861,
0.07142,
0.08764,
0.10814,
0.13376,
0.16602,
0.20661,
0.25734,
0.32055,
0.39946,
0.49858,
0.6227,
0.77767
],
[
0.00899,
0.02936,
0.03433,
0.04059,
0.04853,
0.05868,
0.07148,
0.08766,
0.10803,
0.13384,
0.16605,
0.20659,
0.25727,
0.32042,
0.39987,
0.49871,
0.62215,
0.77756
],
[
0.00902,
0.02936,
0.03434,
0.0406,
0.04855,
0.0586,
0.07138,
0.08756,
0.10799,
0.13375,
0.16598,
0.20645,
0.25717,
0.32053,
0.39976,
0.49876,
0.62257,
0.7768
],
[
0.00907,
0.02941,
0.03436,
0.04061,
0.04855,
0.05863,
0.07144,
0.0877,
0.10815,
0.13385,
0.16582,
0.20617,
0.25687,
0.3202,
0.3993,
0.4984,
0.6222,
0.7763
],
[
0.0091,
0.0294,
0.0344,
0.0407,
0.0486,
0.0588,
0.0715,
0.0877,
0.1081,
0.1338,
0.1662,
0.2067,
0.2572,
0.32,
0.3988,
0.4977,
0.6214,
0.7761
],
[
0.0092,
0.0295,
0.0344,
0.0407,
0.0486,
0.0588,
0.0715,
0.0877,
0.1082,
0.1338,
0.1661,
0.2067,
0.2573,
0.3205,
0.3996,
0.4988,
0.621,
0.7755
]
]
},
"related_pin": "RESET_B",
"timing_sense": "positive_unate",
"timing_type": "clear"
},
{
"cell_fall delay_template13x18": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00904,
0.0113,
0.01412,
0.01765,
0.02206,
0.02758,
0.03447,
0.04309,
0.05386,
0.06733,
0.08416,
0.1052,
0.1315,
0.16437,
0.20546,
0.25683,
0.32104
],
"values": [
[
0.11525,
0.13891,
0.1435,
0.14904,
0.1558,
0.16409,
0.17435,
0.18707,
0.20297,
0.22277,
0.24754,
0.27842,
0.31721,
0.36547,
0.42582,
0.50129,
0.59594,
0.71389
],
[
0.11708,
0.14074,
0.14533,
0.15087,
0.15763,
0.16592,
0.17618,
0.18891,
0.20478,
0.22459,
0.24933,
0.28025,
0.31895,
0.36742,
0.42757,
0.50334,
0.59777,
0.71546
],
[
0.11929,
0.14295,
0.14754,
0.15308,
0.15984,
0.16813,
0.17839,
0.19112,
0.20699,
0.22679,
0.25161,
0.28255,
0.32112,
0.3695,
0.42981,
0.50549,
0.59995,
0.71779
],
[
0.12241,
0.14608,
0.15068,
0.15621,
0.16296,
0.17127,
0.18152,
0.19426,
0.21011,
0.22992,
0.25471,
0.28564,
0.32427,
0.37263,
0.43307,
0.50867,
0.60305,
0.72076
],
[
0.12609,
0.14975,
0.15434,
0.15988,
0.16664,
0.17493,
0.18519,
0.19792,
0.21379,
0.2336,
0.25838,
0.28934,
0.32799,
0.37642,
0.43676,
0.51227,
0.60678,
0.72466
],
[
0.12958,
0.15325,
0.15784,
0.16337,
0.17013,
0.17843,
0.18868,
0.20142,
0.21728,
0.23709,
0.26187,
0.29279,
0.33142,
0.37971,
0.44013,
0.51572,
0.61027,
0.72794
],
[
0.13236,
0.15603,
0.16062,
0.16616,
0.17291,
0.18119,
0.19145,
0.20419,
0.22008,
0.23986,
0.26469,
0.29554,
0.33421,
0.38251,
0.443,
0.51862,
0.61302,
0.73076
],
[
0.13383,
0.1575,
0.16209,
0.16762,
0.17438,
0.18266,
0.19293,
0.20565,
0.22153,
0.24133,
0.26613,
0.29708,
0.33571,
0.38402,
0.44443,
0.52008,
0.61452,
0.73262
],
[
0.13284,
0.1565,
0.16109,
0.16663,
0.17338,
0.18168,
0.19194,
0.20466,
0.22054,
0.24036,
0.26509,
0.29604,
0.33472,
0.38303,
0.44351,
0.51906,
0.61321,
0.73151
],
[
0.12741,
0.15108,
0.15566,
0.1612,
0.16796,
0.17625,
0.18651,
0.19924,
0.21511,
0.23493,
0.25971,
0.29058,
0.32935,
0.37749,
0.43791,
0.5135,
0.60786,
0.72569
],
[
0.11427,
0.13793,
0.14252,
0.14805,
0.15481,
0.16311,
0.17336,
0.1861,
0.20196,
0.22176,
0.24656,
0.27748,
0.31608,
0.36444,
0.42486,
0.50042,
0.59442,
0.71262
],
[
0.08828,
0.11193,
0.11652,
0.12206,
0.12882,
0.13711,
0.14737,
0.16009,
0.17597,
0.19577,
0.22057,
0.25147,
0.29017,
0.33857,
0.39887,
0.47427,
0.56887,
0.68687
],
[
0.0418,
0.0655,
0.07,
0.0756,
0.0823,
0.0906,
0.1009,
0.1136,
0.1295,
0.1493,
0.1741,
0.205,
0.2436,
0.292,
0.3525,
0.428,
0.5225,
0.6403
]
]
},
"cell_rise delay_template13x18": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00904,
0.0113,
0.01412,
0.01765,
0.02206,
0.02758,
0.03447,
0.04309,
0.05386,
0.06733,
0.08416,
0.1052,
0.1315,
0.16437,
0.20546,
0.25683,
0.32104
],
"values": [
[
0.1188,
0.14805,
0.15497,
0.16351,
0.17427,
0.18773,
0.20448,
0.22547,
0.25164,
0.28442,
0.32537,
0.37656,
0.44057,
0.52055,
0.62046,
0.74579,
0.90193,
1.09727
],
[
0.12058,
0.14982,
0.15672,
0.16531,
0.17606,
0.18952,
0.20627,
0.22726,
0.2534,
0.2862,
0.32712,
0.3783,
0.44232,
0.52238,
0.62236,
0.74733,
0.90362,
1.09914
],
[
0.12274,
0.15199,
0.15891,
0.16748,
0.17821,
0.19166,
0.20846,
0.22937,
0.25562,
0.28833,
0.32928,
0.38049,
0.44443,
0.52447,
0.62443,
0.74973,
0.90592,
1.10132
],
[
0.12581,
0.15507,
0.16195,
0.17053,
0.1813,
0.19473,
0.21152,
0.23245,
0.25867,
0.29141,
0.33237,
0.38359,
0.44762,
0.52756,
0.62774,
0.75265,
0.90895,
1.10438
],
[
0.1295,
0.15874,
0.16564,
0.17427,
0.18498,
0.19843,
0.2152,
0.23614,
0.26237,
0.29509,
0.33605,
0.38724,
0.45126,
0.53135,
0.63126,
0.75632,
0.91254,
1.10771
],
[
0.13317,
0.16242,
0.16933,
0.17791,
0.18865,
0.20209,
0.21888,
0.23983,
0.26604,
0.29877,
0.33971,
0.39089,
0.45488,
0.535,
0.6349,
0.7599,
0.91613,
1.11162
],
[
0.13616,
0.1654,
0.17231,
0.18092,
0.19164,
0.20508,
0.22182,
0.24283,
0.26898,
0.30176,
0.34273,
0.39392,
0.45796,
0.53793,
0.63785,
0.76299,
0.91923,
1.11443
],
[
0.13789,
0.16712,
0.17401,
0.18262,
0.19337,
0.2068,
0.22357,
0.2445,
0.27074,
0.30346,
0.34445,
0.39564,
0.45965,
0.5396,
0.63962,
0.76478,
0.92096,
1.11636
],
[
0.1373,
0.16653,
0.17342,
0.18201,
0.19277,
0.20618,
0.22297,
0.2439,
0.27013,
0.30287,
0.34383,
0.39502,
0.459,
0.53906,
0.63915,
0.76405,
0.92035,
1.11555
],
[
0.13258,
0.1618,
0.16868,
0.17729,
0.18804,
0.20147,
0.2182,
0.23919,
0.2654,
0.29814,
0.33905,
0.39021,
0.45418,
0.53427,
0.63439,
0.75939,
0.91559,
1.11069
],
[
0.12064,
0.14986,
0.15672,
0.16533,
0.17607,
0.18947,
0.20624,
0.22722,
0.2534,
0.28617,
0.32707,
0.37825,
0.44223,
0.52212,
0.62212,
0.74712,
0.90352,
1.09912
],
[
0.09663,
0.12585,
0.1327,
0.14129,
0.152,
0.16545,
0.18217,
0.20317,
0.22937,
0.26207,
0.30307,
0.35427,
0.41827,
0.49827,
0.59827,
0.72337,
0.87927,
1.07467
],
[
0.0532,
0.0824,
0.0893,
0.0979,
0.1086,
0.122,
0.1388,
0.1597,
0.1859,
0.2186,
0.2596,
0.3107,
0.3747,
0.4547,
0.5548,
0.6798,
0.8359,
1.0315
]
]
},
"fall_transition delay_template13x18": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00904,
0.0113,
0.01412,
0.01765,
0.02206,
0.02758,
0.03447,
0.04309,
0.05386,
0.06733,
0.08416,
0.1052,
0.1315,
0.16437,
0.20546,
0.25683,
0.32104
],
"values": [
[
0.00849,
0.02902,
0.03401,
0.0403,
0.04832,
0.05842,
0.07123,
0.08746,
0.10797,
0.13371,
0.166,
0.20665,
0.25719,
0.32038,
0.39946,
0.49875,
0.62261,
0.77696
],
[
0.00849,
0.02902,
0.03404,
0.0403,
0.04832,
0.05848,
0.0713,
0.08749,
0.10801,
0.13363,
0.1659,
0.20644,
0.25705,
0.32018,
0.39932,
0.49873,
0.6224,
0.7771
],
[
0.00849,
0.02902,
0.03403,
0.0403,
0.04837,
0.0584,
0.07124,
0.08749,
0.10802,
0.13374,
0.16599,
0.20648,
0.25701,
0.32036,
0.39961,
0.49872,
0.62244,
0.77699
],
[
0.00849,
0.02901,
0.03404,
0.04032,
0.04831,
0.05844,
0.07126,
0.08753,
0.108,
0.13388,
0.16622,
0.20664,
0.25717,
0.32042,
0.39972,
0.4988,
0.62199,
0.77737
],
[
0.00849,
0.02902,
0.03401,
0.04032,
0.04835,
0.05842,
0.07127,
0.0875,
0.10799,
0.13368,
0.16595,
0.20644,
0.25716,
0.32062,
0.39977,
0.49873,
0.62251,
0.77732
],
[
0.00849,
0.02901,
0.03404,
0.04032,
0.04831,
0.05841,
0.07128,
0.08752,
0.10799,
0.1339,
0.16598,
0.20651,
0.25699,
0.32036,
0.3995,
0.49877,
0.62235,
0.77707
],
[
0.00849,
0.02901,
0.03403,
0.04032,
0.04829,
0.05847,
0.07132,
0.08749,
0.10801,
0.13386,
0.16606,
0.20645,
0.25702,
0.32045,
0.39973,
0.4988,
0.62218,
0.77716
],
[
0.00849,
0.029,
0.03398,
0.04029,
0.04828,
0.05843,
0.07123,
0.08752,
0.10797,
0.13376,
0.166,
0.20651,
0.25716,
0.32035,
0.39974,
0.49885,
0.62234,
0.77719
],
[
0.00849,
0.02903,
0.03401,
0.0403,
0.04832,
0.05842,
0.07125,
0.08739,
0.10782,
0.13366,
0.16586,
0.20634,
0.25696,
0.32027,
0.39973,
0.49871,
0.62237,
0.77708
],
[
0.0085,
0.02901,
0.03404,
0.04032,
0.04832,
0.05843,
0.07124,
0.08747,
0.108,
0.1337,
0.16601,
0.20602,
0.25665,
0.32014,
0.39942,
0.4983,
0.62217,
0.77613
],
[
0.00849,
0.02902,
0.03404,
0.04032,
0.04831,
0.05843,
0.07127,
0.08749,
0.10801,
0.13388,
0.16594,
0.20653,
0.25709,
0.32037,
0.39888,
0.49766,
0.62156,
0.77628
],
[
0.0085,
0.02902,
0.03404,
0.04032,
0.04832,
0.05847,
0.07127,
0.08757,
0.10794,
0.13368,
0.16597,
0.20652,
0.2572,
0.3205,
0.3996,
0.4993,
0.621,
0.7753
],
[
0.0085,
0.0291,
0.034,
0.0403,
0.0483,
0.0584,
0.0713,
0.0876,
0.108,
0.1337,
0.1661,
0.2064,
0.257,
0.3204,
0.3997,
0.4987,
0.6219,
0.7767
]
]
},
"related_pin": "CLK",
"rise_transition delay_template13x18": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00904,
0.0113,
0.01412,
0.01765,
0.02206,
0.02758,
0.03447,
0.04309,
0.05386,
0.06733,
0.08416,
0.1052,
0.1315,
0.16437,
0.20546,
0.25683,
0.32104
],
"values": [
[
0.0112,
0.04905,
0.0593,
0.07228,
0.08866,
0.10919,
0.13474,
0.16683,
0.20667,
0.25679,
0.31959,
0.39777,
0.49556,
0.61776,
0.77071,
0.96184,
1.19985,
1.49906
],
[
0.01121,
0.04901,
0.0593,
0.07229,
0.08862,
0.10908,
0.13481,
0.16661,
0.20664,
0.25685,
0.31945,
0.3981,
0.49558,
0.61775,
0.77149,
0.96215,
1.20151,
1.49965
],
[
0.01122,
0.04901,
0.05935,
0.07229,
0.08871,
0.10902,
0.1347,
0.16667,
0.20664,
0.25679,
0.31946,
0.39772,
0.49575,
0.61773,
0.77042,
0.9631,
1.20076,
1.49894
],
[
0.01122,
0.04905,
0.05934,
0.07229,
0.0886,
0.10912,
0.13466,
0.16689,
0.20669,
0.25681,
0.31938,
0.39758,
0.49542,
0.61765,
0.77038,
0.9625,
1.20151,
1.50068
],
[
0.01122,
0.049,
0.05931,
0.07237,
0.08863,
0.1091,
0.1347,
0.16668,
0.20673,
0.25679,
0.31988,
0.39767,
0.49555,
0.61877,
0.77065,
0.9635,
1.2009,
1.49894
],
[
0.01122,
0.04901,
0.05935,
0.07237,
0.08862,
0.10908,
0.13473,
0.1667,
0.20667,
0.25688,
0.31936,
0.39799,
0.49534,
0.61773,
0.77131,
0.96323,
1.2,
1.49863
],
[
0.01122,
0.04904,
0.05932,
0.07236,
0.08858,
0.10907,
0.13472,
0.16668,
0.20667,
0.25682,
0.31937,
0.39761,
0.49533,
0.61767,
0.77117,
0.96321,
1.20167,
1.49866
],
[
0.01123,
0.04901,
0.05929,
0.0723,
0.08858,
0.10908,
0.13472,
0.1669,
0.20664,
0.25679,
0.31941,
0.39749,
0.49575,
0.61836,
0.77218,
0.9615,
1.201,
1.50052
],
[
0.01121,
0.04907,
0.05936,
0.07229,
0.08856,
0.10914,
0.13475,
0.16664,
0.2067,
0.25676,
0.3193,
0.39775,
0.49635,
0.61744,
0.77009,
0.96279,
1.20276,
1.49813
],
[
0.01127,
0.04904,
0.05935,
0.07236,
0.08857,
0.10909,
0.13473,
0.16681,
0.20666,
0.25686,
0.31941,
0.39768,
0.49515,
0.61738,
0.77199,
0.96347,
1.20183,
1.49833
],
[
0.01131,
0.04908,
0.05936,
0.07232,
0.08862,
0.10901,
0.13476,
0.16673,
0.20662,
0.25671,
0.31969,
0.39743,
0.49538,
0.61739,
0.77062,
0.96233,
1.20081,
1.5018
],
[
0.01142,
0.04909,
0.05939,
0.0723,
0.08875,
0.10908,
0.13486,
0.16673,
0.20677,
0.25681,
0.3194,
0.3976,
0.4963,
0.6174,
0.7707,
0.9621,
1.2,
1.5005
],
[
0.0117,
0.0491,
0.0594,
0.0724,
0.0888,
0.1093,
0.1349,
0.1667,
0.207,
0.2567,
0.3194,
0.3981,
0.4956,
0.6173,
0.7703,
0.9612,
1.2003,
1.4989
]
]
},
"timing_sense": "non_unate",
"timing_type": "rising_edge"
}
]
},
"pin Q_N": {
"ccsn_last_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"index_2": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"values": [
[
8.22726,
0.927191,
0.642323,
0.605416,
0.565177,
0.543815,
0.521625,
0.498628,
0.474836,
0.450266,
0.424934,
0.398856,
0.372049,
0.34453,
0.316317,
0.287428,
0.257881,
0.227695,
0.196886,
0.165475,
0.133478,
0.100914,
0.0678012,
0.0341573,
-2.42739e-09,
-0.0664821,
-0.129811,
-0.352084,
-3.77367
],
[
8.1459,
0.8729,
0.610856,
0.585015,
0.557619,
0.543061,
0.527699,
0.511294,
0.493591,
0.474392,
0.453598,
0.431176,
0.407122,
0.381446,
0.354167,
0.325308,
0.294896,
0.262962,
0.229541,
0.194669,
0.158386,
0.120734,
0.0817558,
0.0414958,
-8.7117e-09,
-0.0810482,
-0.157683,
-0.425084,
-3.7906
],
[
8.0227,
0.752682,
0.505537,
0.486572,
0.466919,
0.456756,
0.446303,
0.435493,
0.424224,
0.412355,
0.399672,
0.385855,
0.370464,
0.353035,
0.333273,
0.311058,
0.286346,
0.259124,
0.229395,
0.197175,
0.162491,
0.12538,
0.0858887,
0.0440743,
-1.95866e-09,
-0.087681,
-0.171523,
-0.459082,
-3.79929
],
[
7.98418,
0.717806,
0.452605,
0.436008,
0.418874,
0.410055,
0.401027,
0.391747,
0.382157,
0.372173,
0.361674,
0.350474,
0.338287,
0.324671,
0.309054,
0.290948,
0.270104,
0.246423,
0.219858,
0.190391,
0.15802,
0.122759,
0.0846368,
0.0436983,
-1.95755e-09,
-0.0881327,
-0.173403,
-0.465809,
-3.80112
],
[
7.93502,
0.715603,
0.391718,
0.377419,
0.36273,
0.355197,
0.347514,
0.339655,
0.331586,
0.323258,
0.314604,
0.305525,
0.295869,
0.285393,
0.273699,
0.260175,
0.244136,
0.225129,
0.20295,
0.177499,
0.14872,
0.11658,
0.0810696,
0.0421993,
-2.10498e-09,
-0.0868975,
-0.172609,
-0.468039,
-3.80222
],
[
7.90702,
0.718028,
0.359103,
0.34564,
0.332126,
0.325209,
0.318165,
0.310975,
0.303613,
0.296043,
0.288215,
0.280056,
0.27146,
0.262257,
0.252164,
0.240708,
0.227175,
0.210844,
0.191299,
0.168349,
0.141889,
0.111852,
0.078199,
0.0409124,
-7.30949e-09,
-0.085444,
-0.17087,
-0.466898,
-3.8024
],
[
7.87757,
0.718409,
0.32771,
0.312624,
0.300239,
0.293914,
0.287483,
0.280934,
0.274244,
0.267389,
0.260331,
0.253019,
0.245377,
0.237288,
0.228562,
0.218871,
0.207646,
0.194057,
0.17738,
0.157238,
0.133446,
0.105892,
0.0744965,
0.0392073,
-1.1137e-07,
-0.0833184,
-0.168039,
-0.463907,
-3.80227
],
[
7.84733,
0.716374,
0.306642,
0.278843,
0.267463,
0.261704,
0.255859,
0.249918,
0.243866,
0.237684,
0.231345,
0.224814,
0.218036,
0.210934,
0.20338,
0.195164,
0.185899,
0.17489,
0.161198,
0.144122,
0.123327,
0.0986283,
0.0698994,
0.0370445,
-2.21314e-06,
-0.08044,
-0.163969,
-0.458797,
-3.80183
],
[
7.81691,
0.712655,
0.299153,
0.245642,
0.234267,
0.229044,
0.223754,
0.218389,
0.212938,
0.207388,
0.201719,
0.195908,
0.189917,
0.183694,
0.177158,
0.170175,
0.162508,
0.153694,
0.142878,
0.129028,
0.111507,
0.0900099,
0.0643456,
0.0343668,
-4.22439e-05,
-0.076783,
-0.158581,
-0.451442,
-3.80205
],
[
7.78693,
0.708273,
0.29678,
0.219373,
0.201184,
0.196442,
0.191669,
0.186839,
0.181944,
0.176975,
0.171919,
0.166759,
0.161471,
0.156022,
0.150358,
0.144399,
0.138005,
0.130907,
0.122539,
0.11184,
0.0977462,
0.0797027,
0.0574216,
0.0306936,
-0.000654843,
-0.0729769,
-0.152629,
-0.443457,
-3.81175
],
[
7.75799,
0.704199,
0.295769,
0.20621,
0.168807,
0.164121,
0.159717,
0.155279,
0.150788,
0.146233,
0.141606,
0.136892,
0.132077,
0.127138,
0.122041,
0.116739,
0.111149,
0.105129,
0.0983733,
0.090155,
0.0791965,
0.064483,
0.0455382,
0.0220731,
-0.00614973,
-0.0740453,
-0.151897,
-0.443737,
-3.85231
],
[
7.73066,
0.701275,
0.295948,
0.198739,
0.137759,
0.129462,
0.124358,
0.119568,
0.114803,
0.110005,
0.105153,
0.100232,
0.095229,
0.090131,
0.0849191,
0.0795667,
0.0740321,
0.0682431,
0.0620572,
0.0551223,
0.0464837,
0.0346922,
0.0188577,
-0.00144038,
-0.0265087,
-0.0898166,
-0.165799,
-0.4592,
-3.91871
],
[
7.70547,
0.70021,
0.297658,
0.192184,
0.108677,
0.0889313,
0.0792292,
0.0727463,
0.0669827,
0.0614677,
0.0560596,
0.0506979,
0.0453499,
0.039994,
0.0346123,
0.0291862,
0.0236917,
0.0180929,
0.0123264,
0.00625234,
-0.000558528,
-0.0093597,
-0.0216653,
-0.0382166,
-0.0594141,
-0.116548,
-0.18914,
-0.480194,
-3.99003
],
[
7.68288,
0.701586,
0.301147,
0.18613,
0.082173,
0.0491757,
0.0306939,
0.0210198,
0.0138966,
0.00763737,
0.00178349,
-0.00384201,
-0.0093245,
-0.0147119,
-0.0200349,
-0.0253159,
-0.0305744,
-0.0358317,
-0.0411169,
-0.0464817,
-0.0520597,
-0.0583933,
-0.0670606,
-0.0795028,
-0.0963288,
-0.146083,
-0.214087,
-0.49969,
-4.05923
],
[
7.66329,
0.705863,
0.306544,
0.181006,
0.0588041,
0.0139804,
-0.0146043,
-0.0290989,
-0.0380532,
-0.0451913,
-0.051512,
-0.0573759,
-0.0629476,
-0.0683148,
-0.0735291,
-0.0786236,
-0.0836216,
-0.088541,
-0.0933985,
-0.0982145,
-0.103026,
-0.107955,
-0.113689,
-0.122086,
-0.134387,
-0.176047,
-0.238607,
-0.516431,
-4.12557
],
[
7.647,
0.713373,
0.313858,
0.177289,
0.0390384,
-0.0160985,
-0.0546402,
-0.0754432,
-0.0868187,
-0.0950383,
-0.101905,
-0.108038,
-0.113706,
-0.119051,
-0.124152,
-0.129057,
-0.133799,
-0.1384,
-0.142875,
-0.147237,
-0.1515,
-0.155687,
-0.159905,
-0.16502,
-0.173031,
-0.206183,
-0.26258,
-0.530798,
-4.1891
],
[
7.6342,
0.724311,
0.322981,
0.175412,
0.0232369,
-0.0408854,
-0.0887118,
-0.116971,
-0.131486,
-0.141031,
-0.148534,
-0.154966,
-0.160736,
-0.166049,
-0.171021,
-0.175723,
-0.180199,
-0.184481,
-0.18859,
-0.192545,
-0.19636,
-0.200049,
-0.203637,
-0.207238,
-0.212031,
-0.236613,
-0.286248,
-0.543581,
-4.24985
],
[
7.62496,
0.738742,
0.333718,
0.17574,
0.0116534,
-0.0602388,
-0.116468,
-0.152846,
-0.171364,
-0.182535,
-0.190784,
-0.197556,
-0.203442,
-0.208732,
-0.213587,
-0.218107,
-0.222361,
-0.226394,
-0.230243,
-0.233937,
-0.2375,
-0.240954,
-0.244322,
-0.247636,
-0.25119,
-0.267829,
-0.310148,
-0.555639,
-4.30783
],
[
7.61928,
0.756614,
0.345864,
0.178549,
0.00440536,
-0.0741461,
-0.137847,
-0.182501,
-0.206056,
-0.21931,
-0.228532,
-0.235808,
-0.241964,
-0.247399,
-0.25233,
-0.256894,
-0.261177,
-0.26524,
-0.269128,
-0.272873,
-0.276502,
-0.280036,
-0.283497,
-0.286906,
-0.290346,
-0.301175,
-0.33523,
-0.567762,
-4.36305
],
[
7.61705,
0.777778,
0.359176,
0.183809,
0.00110946,
-0.0832086,
-0.153599,
-0.20637,
-0.236198,
-0.252309,
-0.262979,
-0.271135,
-0.2779,
-0.283797,
-0.289105,
-0.293992,
-0.298562,
-0.302886,
-0.307016,
-0.310988,
-0.31483,
-0.318566,
-0.322216,
-0.325806,
-0.329376,
-0.337591,
-0.362528,
-0.580641,
-4.41558
],
[
7.61812,
0.801866,
0.372844,
0.190479,
0.000210003,
-0.0892285,
-0.16569,
-0.226153,
-0.263376,
-0.283262,
-0.295872,
-0.305224,
-0.312827,
-0.319363,
-0.325188,
-0.330506,
-0.335448,
-0.340101,
-0.344525,
-0.348763,
-0.352849,
-0.35681,
-0.360669,
-0.364453,
-0.368195,
-0.375926,
-0.392774,
-0.594865,
-4.46553
],
[
7.62225,
0.827743,
0.385331,
0.196932,
4.09698e-05,
-0.0938531,
-0.175688,
-0.243084,
-0.288315,
-0.312882,
-0.327856,
-0.338619,
-0.347188,
-0.354445,
-0.360841,
-0.366631,
-0.371976,
-0.376979,
-0.381714,
-0.386232,
-0.390572,
-0.394766,
-0.39884,
-0.402823,
-0.406746,
-0.414645,
-0.426311,
-0.610894,
-4.51303
],
[
7.62885,
0.853649,
0.395825,
0.202473,
8.65701e-06,
-0.097659,
-0.184145,
-0.257597,
-0.3109,
-0.341112,
-0.358936,
-0.371336,
-0.380991,
-0.389043,
-0.396058,
-0.402354,
-0.408125,
-0.413497,
-0.418557,
-0.423365,
-0.427968,
-0.432401,
-0.436695,
-0.44088,
-0.44499,
-0.453183,
-0.462707,
-0.629055,
-4.55824
],
[
7.63662,
0.878549,
0.404373,
0.207063,
1.87973e-06,
-0.100818,
-0.191284,
-0.269947,
-0.330892,
-0.367701,
-0.388975,
-0.403291,
-0.414177,
-0.423107,
-0.430796,
-0.437636,
-0.44386,
-0.449621,
-0.45502,
-0.46013,
-0.465005,
-0.469686,
-0.474207,
-0.478599,
-0.482899,
-0.491415,
-0.50053,
-0.649528,
-4.60132
],
[
7.64449,
0.902053,
0.411223,
0.210795,
4.09396e-07,
-0.103422,
-0.197264,
-0.280373,
-0.348168,
-0.392335,
-0.417797,
-0.434378,
-0.446672,
-0.456583,
-0.465011,
-0.472437,
-0.479146,
-0.485319,
-0.491076,
-0.496503,
-0.501662,
-0.506599,
-0.511354,
-0.515961,
-0.520457,
-0.529307,
-0.538538,
-0.672362,
-4.64242
],
[
7.65936,
0.943823,
0.420787,
0.216096,
2.31861e-08,
-0.107246,
-0.206286,
-0.296342,
-0.374948,
-0.434429,
-0.470904,
-0.493446,
-0.509271,
-0.521542,
-0.531693,
-0.540457,
-0.548253,
-0.555336,
-0.561876,
-0.567988,
-0.573757,
-0.579244,
-0.584498,
-0.589559,
-0.594468,
-0.604022,
-0.613736,
-0.724755,
-4.71924
],
[
7.6727,
0.976793,
0.426105,
0.219109,
1.63215e-08,
-0.109608,
-0.212159,
-0.30709,
-0.393082,
-0.465507,
-0.516109,
-0.547305,
-0.568045,
-0.583422,
-0.595741,
-0.606133,
-0.615216,
-0.623357,
-0.630793,
-0.63768,
-0.64413,
-0.650225,
-0.656027,
-0.661586,
-0.666946,
-0.677267,
-0.687535,
-0.784813,
-4.78962
],
[
7.69974,
1.01155,
0.42643,
0.219503,
5.77263e-08,
-0.111154,
-0.217652,
-0.319211,
-0.415478,
-0.505821,
-0.588464,
-0.65825,
-0.70886,
-0.742879,
-0.767004,
-0.785492,
-0.80054,
-0.813321,
-0.824515,
-0.834545,
-0.843685,
-0.852128,
-0.860009,
-0.86743,
-0.874469,
-0.88765,
-0.900059,
-0.987486,
-4.96795
],
[
7.69489,
0.97051,
0.40453,
0.207717,
2.29718e-07,
-0.105711,
-0.208753,
-0.309007,
-0.406351,
-0.500656,
-0.591775,
-0.679508,
-0.763499,
-0.842898,
-0.91543,
-0.976663,
-1.02371,
-1.05889,
-1.08609,
-1.1081,
-1.12661,
-1.14264,
-1.15686,
-1.16968,
-1.18142,
-1.20242,
-1.221,
-1.31362,
-5.18846
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.00072,
"miller_cap_rise": 0.000645,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00800703076923077
],
"index_3": [
0.0134789,
0.02083394,
0.02854462,
0.03696039,
0.04899942
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0240210923076923
],
"index_3": [
0.01965609,
0.03946966,
0.06025246,
0.08278351,
0.1143809
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00800703076923077
],
"index_3": [
0.05943775,
0.07480011,
0.08616357,
0.09607123,
0.1082383
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0240210923076923
],
"index_3": [
0.07275102,
0.09867916,
0.1195362,
0.1420007,
0.1736252
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00558046153846154
],
"index_3": [
0.01505877,
0.02322181,
0.0324576,
0.04399808,
0.0650992
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0167413846153846
],
"index_3": [
0.02164078,
0.04292816,
0.06687705,
0.09659499,
0.1490883
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00558046153846154
],
"index_3": [
0.06780514,
0.0836817,
0.09563348,
0.1074887,
0.1287208
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0167413846153846
],
"index_3": [
0.08002199,
0.1057769,
0.1297232,
0.1593858,
0.2123231
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.8978759765625
],
"index_2": [
0.647876491442247
],
"index_3": [
0.00800703076923077
],
"index_4": [
0.309369,
0.3318901,
0.3610227,
0.4027897,
0.431609
],
"values": [
1.71884565,
1.58015304,
1.4876913,
1.58015304,
1.71884565
]
},
{
"index_1": [
1.0959228515625
],
"index_2": [
0.282855525163049
],
"index_3": [
0.00800703076923077
],
"index_4": [
0.1382504,
0.1533402,
0.1767983,
0.2065514,
0.2285772
],
"values": [
1.5696301,
1.34140816,
1.1892602,
1.34140816,
1.5696301
]
},
{
"index_1": [
1.2901611328125
],
"index_2": [
0.168904942147208
],
"index_3": [
0.00800703076923077
],
"index_4": [
0.08417849,
0.09610381,
0.1147413,
0.1386153,
0.157278
],
"values": [
1.4983399,
1.22734384,
1.0466798,
1.22734384,
1.4983399
]
},
{
"index_1": [
0.8978759765625
],
"index_2": [
0.323938245721123
],
"index_3": [
0.00800703076923077
],
"index_4": [
0.1573627,
0.1695523,
0.1883587,
0.2132542,
0.2343042
],
"values": [
1.80531805,
1.71850888,
1.6606361,
1.71850888,
1.80531805
]
},
{
"index_1": [
1.2901611328125
],
"index_2": [
0.0844524710736041
],
"index_3": [
0.00800703076923077
],
"index_4": [
0.04402589,
0.05025428,
0.06188506,
0.07499512,
0.08982277
],
"values": [
1.7192682,
1.58082912,
1.4885364,
1.58082912,
1.7192682
]
},
{
"index_1": [
1.0959228515625
],
"index_2": [
0.282855525163049
],
"index_3": [
0.0240210923076923
],
"index_4": [
0.1398593,
0.1570959,
0.1884793,
0.2225128,
0.2623878
],
"values": [
1.78589015,
1.68742424,
1.6217803,
1.68742424,
1.78589015
]
},
{
"index_1": [
1.2901611328125
],
"index_2": [
0.168904942147208
],
"index_3": [
0.0240210923076923
],
"index_4": [
0.08550684,
0.09849679,
0.1237009,
0.1515296,
0.1851283
],
"values": [
1.76754815,
1.65807704,
1.5850963,
1.65807704,
1.76754815
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.04641869651086
],
"index_2": [
0.622744087826411
],
"index_3": [
0.00558046153846154
],
"index_4": [
0.2893689,
0.3105039,
0.3270373,
0.3503306,
0.3712977
],
"values": [
0.08795205,
0.14072328,
0.1759041,
0.14072328,
0.08795205
]
},
{
"index_1": [
1.2311279296875
],
"index_2": [
0.332904140426275
],
"index_3": [
0.00558046153846154
],
"index_4": [
0.1615853,
0.1731823,
0.1893195,
0.2109394,
0.2242146
],
"values": [
0.25219745,
0.40351592,
0.5043949,
0.40351592,
0.25219745
]
},
{
"index_1": [
1.4025146484375
],
"index_2": [
0.213869838575921
],
"index_3": [
0.00558046153846154
],
"index_4": [
0.1049003,
0.1157059,
0.131546,
0.1503777,
0.1620244
],
"values": [
0.38395265,
0.61432424,
0.7679053,
0.61432424,
0.38395265
]
},
{
"index_1": [
1.04641869651086
],
"index_2": [
0.311372043913206
],
"index_3": [
0.00558046153846154
],
"index_4": [
0.1463929,
0.1574533,
0.1705258,
0.1842127,
0.1981685
],
"values": [
0.07007785,
0.11212456,
0.1401557,
0.11212456,
0.07007785
]
},
{
"index_1": [
1.4025146484375
],
"index_2": [
0.10693491928796
],
"index_3": [
0.00558046153846154
],
"index_4": [
0.05422287,
0.05968299,
0.06808957,
0.07847922,
0.08589901
],
"values": [
0.21028915,
0.33646264,
0.4205783,
0.33646264,
0.21028915
]
},
{
"index_1": [
1.2311279296875
],
"index_2": [
0.332904140426275
],
"index_3": [
0.0167413846153846
],
"index_4": [
0.1609145,
0.1750141,
0.197509,
0.2213707,
0.2410236
],
"values": [
0.126061,
0.2016976,
0.252122,
0.2016976,
0.126061
]
},
{
"index_1": [
1.4025146484375
],
"index_2": [
0.213869838575921
],
"index_3": [
0.0167413846153846
],
"index_4": [
0.1057426,
0.1177406,
0.1355304,
0.1570226,
0.1729904
],
"values": [
0.17175905,
0.27481448,
0.3435181,
0.27481448,
0.17175905
]
}
]
},
"stage_type": "both"
},
"direction": "output",
"function": "IQ_N",
"internal_power": [
{
"fall_power scalar": {
"values": [
0.0
]
},
"related_pin": "RESET_B",
"rise_power pwr_template13x18": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00904,
0.01129,
0.01411,
0.01764,
0.02205,
0.02757,
0.03445,
0.04307,
0.05383,
0.0673,
0.08412,
0.10515,
0.13143,
0.16429,
0.20536,
0.2567,
0.32088
],
"values": [
[
0.08941,
0.10598,
0.1102,
0.11555,
0.12223,
0.13057,
0.14106,
0.15404,
0.17022,
0.19067,
0.21595,
0.24763,
0.28697,
0.33669,
0.39857,
0.47545,
0.57239,
0.69248
],
[
0.08906,
0.10562,
0.10986,
0.11519,
0.12188,
0.13026,
0.14068,
0.15362,
0.16984,
0.19032,
0.21554,
0.24735,
0.28712,
0.33638,
0.39818,
0.47532,
0.57261,
0.6932
],
[
0.08882,
0.10538,
0.10964,
0.11499,
0.12168,
0.13002,
0.14039,
0.15345,
0.1696,
0.19008,
0.21537,
0.24722,
0.2868,
0.33613,
0.39834,
0.47526,
0.57228,
0.69294
],
[
0.08862,
0.10518,
0.10944,
0.11476,
0.12146,
0.12979,
0.1402,
0.15322,
0.16945,
0.18988,
0.21523,
0.24689,
0.28644,
0.33619,
0.39765,
0.47506,
0.5722,
0.69333
],
[
0.08855,
0.1051,
0.10937,
0.11472,
0.12136,
0.1297,
0.14019,
0.15315,
0.16935,
0.18971,
0.21495,
0.24675,
0.28636,
0.33588,
0.39764,
0.47503,
0.57148,
0.69324
],
[
0.08879,
0.10536,
0.10958,
0.11491,
0.12159,
0.12996,
0.14039,
0.15344,
0.1696,
0.19005,
0.21532,
0.24711,
0.28645,
0.33637,
0.3981,
0.47474,
0.5717,
0.69203
],
[
0.08969,
0.10621,
0.11045,
0.11583,
0.12249,
0.13081,
0.14131,
0.15424,
0.17047,
0.19085,
0.21616,
0.24797,
0.28752,
0.33712,
0.39857,
0.47626,
0.57271,
0.69368
],
[
0.0911,
0.1076,
0.11182,
0.11716,
0.12386,
0.13216,
0.14267,
0.15568,
0.17177,
0.19229,
0.21757,
0.24945,
0.2891,
0.33855,
0.4002,
0.47679,
0.57459,
0.69566
],
[
0.09407,
0.11053,
0.11479,
0.1201,
0.12678,
0.13508,
0.14545,
0.15853,
0.17466,
0.19518,
0.22062,
0.25217,
0.29182,
0.34147,
0.40337,
0.48048,
0.5768,
0.69874
],
[
0.09937,
0.11582,
0.12005,
0.12534,
0.13203,
0.14035,
0.15081,
0.16371,
0.17993,
0.20017,
0.22579,
0.2574,
0.29695,
0.34618,
0.40833,
0.48519,
0.58137,
0.7027
],
[
0.10796,
0.12433,
0.12859,
0.13394,
0.1406,
0.14888,
0.15929,
0.1722,
0.18843,
0.20868,
0.23399,
0.26577,
0.30533,
0.355,
0.41706,
0.49369,
0.59078,
0.71202
],
[
0.12144,
0.13769,
0.14188,
0.14726,
0.15394,
0.16232,
0.17274,
0.18577,
0.20195,
0.22213,
0.24745,
0.27898,
0.31873,
0.36821,
0.43023,
0.507,
0.60347,
0.72545
],
[
0.1421,
0.15824,
0.16245,
0.16772,
0.17437,
0.18266,
0.19317,
0.20627,
0.22249,
0.24287,
0.26834,
0.29981,
0.33932,
0.38854,
0.45006,
0.52775,
0.62478,
0.74601
]
]
}
},
{
"fall_power pwr_template13x18": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00904,
0.01129,
0.01411,
0.01764,
0.02205,
0.02757,
0.03445,
0.04307,
0.05383,
0.0673,
0.08412,
0.10515,
0.13143,
0.16429,
0.20536,
0.2567,
0.32088
],
"values": [
[
0.06411,
0.0795,
0.0838,
0.08914,
0.09574,
0.10404,
0.11443,
0.1275,
0.14365,
0.16394,
0.18938,
0.22085,
0.26038,
0.30975,
0.37194,
0.44933,
0.54624,
0.66729
],
[
0.06392,
0.07931,
0.08357,
0.08894,
0.09562,
0.10392,
0.11424,
0.1274,
0.14358,
0.16392,
0.18904,
0.22072,
0.26046,
0.30979,
0.37137,
0.44891,
0.54555,
0.66722
],
[
0.06388,
0.07928,
0.08355,
0.08888,
0.09551,
0.10393,
0.11432,
0.12721,
0.14347,
0.16389,
0.1891,
0.22083,
0.26043,
0.30977,
0.37157,
0.44908,
0.54545,
0.66741
],
[
0.06386,
0.07922,
0.08354,
0.08888,
0.09551,
0.10386,
0.11431,
0.12724,
0.14345,
0.16366,
0.18921,
0.22092,
0.2604,
0.30966,
0.37196,
0.44941,
0.54537,
0.66614
],
[
0.06397,
0.07932,
0.08362,
0.08894,
0.09566,
0.10394,
0.1144,
0.1274,
0.14349,
0.16387,
0.18926,
0.22105,
0.26028,
0.30978,
0.37185,
0.44884,
0.54604,
0.66624
],
[
0.06431,
0.07968,
0.08396,
0.08928,
0.096,
0.10432,
0.11468,
0.12767,
0.14395,
0.16423,
0.18954,
0.22124,
0.26099,
0.31029,
0.37194,
0.44914,
0.54573,
0.66721
],
[
0.06509,
0.08043,
0.08472,
0.09,
0.0967,
0.10504,
0.11546,
0.12846,
0.14473,
0.16495,
0.19027,
0.22213,
0.26176,
0.31087,
0.37292,
0.45026,
0.54656,
0.66752
],
[
0.06656,
0.08188,
0.08611,
0.0915,
0.09819,
0.10651,
0.11687,
0.12982,
0.14607,
0.16648,
0.19164,
0.22356,
0.26322,
0.31238,
0.37404,
0.45159,
0.54803,
0.66949
],
[
0.0692,
0.08451,
0.08875,
0.09407,
0.10071,
0.10903,
0.11945,
0.13249,
0.1487,
0.16891,
0.19425,
0.22602,
0.26563,
0.31525,
0.37726,
0.45405,
0.55073,
0.67185
],
[
0.07427,
0.08945,
0.09377,
0.09907,
0.1058,
0.11411,
0.12445,
0.1374,
0.15355,
0.17385,
0.19931,
0.23081,
0.27033,
0.32005,
0.38175,
0.45923,
0.55553,
0.67664
],
[
0.08314,
0.09814,
0.10244,
0.10776,
0.11448,
0.12278,
0.13328,
0.14623,
0.16243,
0.18266,
0.20793,
0.23951,
0.27934,
0.32839,
0.39018,
0.46742,
0.56479,
0.68493
],
[
0.09757,
0.11236,
0.11661,
0.12193,
0.12851,
0.13689,
0.1473,
0.16049,
0.1768,
0.19715,
0.22251,
0.25407,
0.29346,
0.34265,
0.40426,
0.48181,
0.57845,
0.69926
],
[
0.12039,
0.13483,
0.13909,
0.1443,
0.15093,
0.15925,
0.16955,
0.18264,
0.19879,
0.21919,
0.24469,
0.27647,
0.31619,
0.36578,
0.42724,
0.50413,
0.60138,
0.72221
]
]
},
"related_pin": "CLK",
"rise_power pwr_template13x18": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00904,
0.01129,
0.01411,
0.01764,
0.02205,
0.02757,
0.03445,
0.04307,
0.05383,
0.0673,
0.08412,
0.10515,
0.13143,
0.16429,
0.20536,
0.2567,
0.32088
],
"values": [
[
0.06352,
0.08036,
0.08462,
0.09001,
0.09666,
0.10504,
0.11548,
0.12848,
0.14484,
0.16522,
0.19057,
0.2223,
0.26213,
0.31165,
0.37339,
0.45085,
0.54762,
0.66855
],
[
0.06341,
0.08024,
0.08449,
0.0899,
0.09662,
0.105,
0.1154,
0.12852,
0.14469,
0.16508,
0.19057,
0.22204,
0.26189,
0.31128,
0.37353,
0.4505,
0.54696,
0.6683
],
[
0.06333,
0.08016,
0.08443,
0.08983,
0.09651,
0.10495,
0.11531,
0.1283,
0.14469,
0.16485,
0.19053,
0.22184,
0.2615,
0.31147,
0.37296,
0.45004,
0.54619,
0.66752
],
[
0.06336,
0.08017,
0.08448,
0.08981,
0.09653,
0.10493,
0.11531,
0.12839,
0.14476,
0.16504,
0.1904,
0.22197,
0.26154,
0.31111,
0.37308,
0.44972,
0.5475,
0.6682
],
[
0.06351,
0.08033,
0.08464,
0.09,
0.0967,
0.1051,
0.11544,
0.12854,
0.14467,
0.16525,
0.19051,
0.22239,
0.26201,
0.31092,
0.37325,
0.4499,
0.54644,
0.66822
],
[
0.06394,
0.08075,
0.08502,
0.09038,
0.09709,
0.10553,
0.11598,
0.12894,
0.14532,
0.16563,
0.1911,
0.22261,
0.26242,
0.31158,
0.37391,
0.45118,
0.54682,
0.6691
],
[
0.06477,
0.08156,
0.08587,
0.09121,
0.09795,
0.10633,
0.11669,
0.12985,
0.14597,
0.1664,
0.19187,
0.22345,
0.26303,
0.31248,
0.37455,
0.45121,
0.54804,
0.67013
],
[
0.06627,
0.08308,
0.08737,
0.09278,
0.09944,
0.10781,
0.11822,
0.13133,
0.14759,
0.16787,
0.19303,
0.22482,
0.26447,
0.31376,
0.3758,
0.45351,
0.55038,
0.6704
],
[
0.06891,
0.08577,
0.09003,
0.09538,
0.10207,
0.11037,
0.12084,
0.13373,
0.15016,
0.17038,
0.19607,
0.22768,
0.26743,
0.31687,
0.3783,
0.4563,
0.55213,
0.67439
],
[
0.07381,
0.0906,
0.09494,
0.10031,
0.10704,
0.1154,
0.12582,
0.1388,
0.15499,
0.17524,
0.20063,
0.23255,
0.27213,
0.32159,
0.38348,
0.46027,
0.55669,
0.67903
],
[
0.08238,
0.09915,
0.10344,
0.10878,
0.1156,
0.12401,
0.1345,
0.14745,
0.1638,
0.18398,
0.20937,
0.24087,
0.28042,
0.33006,
0.39215,
0.46966,
0.56575,
0.68583
],
[
0.09636,
0.11311,
0.11735,
0.1227,
0.1294,
0.13781,
0.14822,
0.16128,
0.1778,
0.19814,
0.22357,
0.25524,
0.29463,
0.34391,
0.40529,
0.48349,
0.58023,
0.7013
],
[
0.11831,
0.13502,
0.13924,
0.14458,
0.15128,
0.15958,
0.17002,
0.18308,
0.19927,
0.2199,
0.24533,
0.27699,
0.31694,
0.36623,
0.42791,
0.50497,
0.60156,
0.72208
]
]
}
}
],
"max_capacitance": 0.21335,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"cell_rise delay_template13x18": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00904,
0.01129,
0.01411,
0.01764,
0.02205,
0.02757,
0.03445,
0.04307,
0.05383,
0.0673,
0.08412,
0.10515,
0.13143,
0.16429,
0.20536,
0.2567,
0.32088
],
"values": [
[
0.12602,
0.16337,
0.17102,
0.18035,
0.19175,
0.20566,
0.22278,
0.24394,
0.27029,
0.30301,
0.34409,
0.3952,
0.45917,
0.53894,
0.63891,
0.76367,
0.91989,
1.11487
],
[
0.12821,
0.16555,
0.1732,
0.18254,
0.19393,
0.20786,
0.22499,
0.24608,
0.27242,
0.3052,
0.34628,
0.39732,
0.46133,
0.54122,
0.64113,
0.76589,
0.92204,
1.11704
],
[
0.13122,
0.16856,
0.17621,
0.18555,
0.19694,
0.21087,
0.22799,
0.24911,
0.27545,
0.30824,
0.3493,
0.40038,
0.46435,
0.54427,
0.64409,
0.76897,
0.925,
1.12002
],
[
0.13614,
0.17347,
0.18113,
0.19046,
0.20186,
0.21577,
0.23291,
0.25405,
0.28041,
0.31315,
0.35418,
0.40529,
0.46927,
0.54919,
0.64907,
0.77387,
0.92976,
1.12498
],
[
0.14396,
0.1813,
0.18895,
0.19829,
0.20968,
0.22361,
0.24071,
0.26187,
0.28822,
0.32097,
0.36207,
0.41309,
0.47707,
0.55688,
0.65678,
0.78166,
0.9377,
1.13291
],
[
0.15586,
0.19321,
0.20086,
0.2102,
0.22159,
0.2355,
0.25263,
0.27379,
0.30014,
0.33289,
0.37396,
0.42502,
0.48902,
0.56886,
0.66876,
0.79363,
0.94962,
1.14472
],
[
0.17293,
0.21028,
0.21792,
0.22726,
0.23865,
0.25257,
0.26968,
0.29084,
0.31719,
0.35001,
0.39104,
0.44206,
0.50598,
0.58587,
0.68585,
0.81057,
0.96673,
1.16183
],
[
0.19577,
0.23314,
0.24078,
0.25012,
0.26151,
0.27542,
0.29253,
0.3137,
0.34006,
0.37276,
0.4139,
0.465,
0.52894,
0.60881,
0.70861,
0.83359,
0.98946,
1.18476
],
[
0.22582,
0.26321,
0.27086,
0.28019,
0.29159,
0.30549,
0.32264,
0.34377,
0.37009,
0.40294,
0.44389,
0.49505,
0.55902,
0.63879,
0.73881,
0.86355,
1.01965,
1.21475
],
[
0.26629,
0.30378,
0.31143,
0.32075,
0.33212,
0.346,
0.36313,
0.3842,
0.41052,
0.44335,
0.48437,
0.53553,
0.59951,
0.67939,
0.77929,
0.90409,
1.06019,
1.25529
],
[
0.32192,
0.35956,
0.36721,
0.37654,
0.38792,
0.40182,
0.41891,
0.44006,
0.46642,
0.49912,
0.54012,
0.59112,
0.65512,
0.73512,
0.83492,
0.95982,
1.11582,
1.31092
],
[
0.39987,
0.43767,
0.44537,
0.45467,
0.46607,
0.47997,
0.49707,
0.51817,
0.54457,
0.57737,
0.61837,
0.66937,
0.73327,
0.81317,
0.91297,
1.03767,
1.19387,
1.38897
],
[
0.5104,
0.5485,
0.5562,
0.5655,
0.5769,
0.5908,
0.6079,
0.629,
0.6553,
0.6882,
0.7291,
0.7802,
0.8442,
0.9241,
1.0239,
1.1487,
1.3045,
1.4996
]
]
},
"related_pin": "RESET_B",
"rise_transition delay_template13x18": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00904,
0.01129,
0.01411,
0.01764,
0.02205,
0.02757,
0.03445,
0.04307,
0.05383,
0.0673,
0.08412,
0.10515,
0.13143,
0.16429,
0.20536,
0.2567,
0.32088
],
"values": [
[
0.01488,
0.05637,
0.06617,
0.07851,
0.09407,
0.11375,
0.13857,
0.16972,
0.20908,
0.25823,
0.32054,
0.39817,
0.49615,
0.61784,
0.77101,
0.9612,
1.19979,
1.49975
],
[
0.01488,
0.05637,
0.06617,
0.07851,
0.09406,
0.11365,
0.13856,
0.1697,
0.209,
0.25828,
0.32047,
0.39832,
0.49595,
0.61796,
0.77061,
0.96123,
1.19962,
1.49965
],
[
0.01488,
0.05637,
0.06617,
0.07851,
0.09405,
0.11364,
0.13847,
0.16967,
0.20906,
0.2582,
0.32047,
0.39837,
0.49602,
0.61893,
0.77059,
0.96156,
1.20103,
1.49932
],
[
0.01487,
0.05638,
0.06617,
0.07846,
0.09405,
0.11377,
0.13846,
0.16966,
0.20906,
0.25825,
0.32053,
0.39854,
0.496,
0.61812,
0.77048,
0.96254,
1.19866,
1.4975
],
[
0.01488,
0.05636,
0.06618,
0.0785,
0.09406,
0.11366,
0.13843,
0.16965,
0.20887,
0.25831,
0.32049,
0.39838,
0.49585,
0.6186,
0.77012,
0.9611,
1.20033,
1.49872
],
[
0.01489,
0.05637,
0.06617,
0.07851,
0.09406,
0.11377,
0.13843,
0.16968,
0.20907,
0.2582,
0.32054,
0.39822,
0.49621,
0.61755,
0.77086,
0.96315,
1.19962,
1.49929
],
[
0.01489,
0.05639,
0.06619,
0.07851,
0.09406,
0.11376,
0.13844,
0.16985,
0.20921,
0.25832,
0.32049,
0.39814,
0.4967,
0.61872,
0.77069,
0.96099,
1.19972,
1.49671
],
[
0.01497,
0.05643,
0.06625,
0.07855,
0.09412,
0.1138,
0.13841,
0.16963,
0.20915,
0.25824,
0.32053,
0.39826,
0.49571,
0.61789,
0.77051,
0.96143,
1.19977,
1.49714
],
[
0.01503,
0.05653,
0.06631,
0.0786,
0.09418,
0.11387,
0.13848,
0.1697,
0.20918,
0.25857,
0.32055,
0.39817,
0.49633,
0.61777,
0.77063,
0.96117,
1.19879,
1.49881
],
[
0.01522,
0.05665,
0.06642,
0.07866,
0.09419,
0.11383,
0.13841,
0.16962,
0.20916,
0.25819,
0.32071,
0.39863,
0.49597,
0.61787,
0.77057,
0.96132,
1.20037,
1.4998
],
[
0.01545,
0.05689,
0.06664,
0.07889,
0.09437,
0.11396,
0.13853,
0.16974,
0.20884,
0.25832,
0.32027,
0.39856,
0.4961,
0.6183,
0.7704,
0.9628,
1.2009,
1.4977
],
[
0.0157,
0.0572,
0.0669,
0.0791,
0.0945,
0.1142,
0.1388,
0.1699,
0.2091,
0.2587,
0.3205,
0.3981,
0.4959,
0.6178,
0.7701,
0.9609,
1.1992,
1.4982
],
[
0.0161,
0.0575,
0.0672,
0.0794,
0.0948,
0.1143,
0.139,
0.1699,
0.2091,
0.2584,
0.3205,
0.3985,
0.4957,
0.6174,
0.7703,
0.9626,
1.199,
1.4976
]
]
},
"timing_sense": "negative_unate",
"timing_type": "preset"
},
{
"cell_fall delay_template13x18": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00904,
0.01129,
0.01411,
0.01764,
0.02205,
0.02757,
0.03445,
0.04307,
0.05383,
0.0673,
0.08412,
0.10515,
0.13143,
0.16429,
0.20536,
0.2567,
0.32088
],
"values": [
[
0.08854,
0.12674,
0.13419,
0.14288,
0.15291,
0.16448,
0.17795,
0.19372,
0.21254,
0.23516,
0.26271,
0.29645,
0.33817,
0.39,
0.4548,
0.5354,
0.63661,
0.76298
],
[
0.09033,
0.12852,
0.13597,
0.14465,
0.15469,
0.16625,
0.17972,
0.1955,
0.21432,
0.23694,
0.26446,
0.29819,
0.33992,
0.39191,
0.45661,
0.53742,
0.63842,
0.76445
],
[
0.0925,
0.13069,
0.13813,
0.14682,
0.15685,
0.16842,
0.18188,
0.19766,
0.21648,
0.2391,
0.26665,
0.30042,
0.34212,
0.39394,
0.45876,
0.53943,
0.64061,
0.76662
],
[
0.09557,
0.13375,
0.14119,
0.14988,
0.1599,
0.17147,
0.18493,
0.20072,
0.21954,
0.24216,
0.2697,
0.30346,
0.34525,
0.39702,
0.46175,
0.54273,
0.64363,
0.77004
],
[
0.09928,
0.13743,
0.14487,
0.15356,
0.16359,
0.17516,
0.18862,
0.2044,
0.22322,
0.24584,
0.27339,
0.30716,
0.34891,
0.4008,
0.4655,
0.5465,
0.64745,
0.77381
],
[
0.10297,
0.14109,
0.14853,
0.15721,
0.16725,
0.1788,
0.19227,
0.20805,
0.22687,
0.24949,
0.27703,
0.31081,
0.35254,
0.40447,
0.46916,
0.54998,
0.65104,
0.77742
],
[
0.10593,
0.14407,
0.15151,
0.1602,
0.17023,
0.18181,
0.19527,
0.21105,
0.22988,
0.2525,
0.28004,
0.3138,
0.35558,
0.40744,
0.47215,
0.55296,
0.65402,
0.78048
],
[
0.10767,
0.14578,
0.15322,
0.1619,
0.17194,
0.1835,
0.19698,
0.21277,
0.2316,
0.25422,
0.28177,
0.31553,
0.35731,
0.40911,
0.47386,
0.55478,
0.65585,
0.78219
],
[
0.10707,
0.14516,
0.1526,
0.1613,
0.17134,
0.18291,
0.19638,
0.21217,
0.23099,
0.25359,
0.28111,
0.31488,
0.35665,
0.40852,
0.47327,
0.55412,
0.6552,
0.78155
],
[
0.10227,
0.14044,
0.14789,
0.15659,
0.16664,
0.17823,
0.19171,
0.20751,
0.22634,
0.24898,
0.27653,
0.31031,
0.35204,
0.40373,
0.46861,
0.54942,
0.65049,
0.77689
],
[
0.09012,
0.12849,
0.13597,
0.1447,
0.15477,
0.16638,
0.17989,
0.19571,
0.21456,
0.23721,
0.26477,
0.29854,
0.34026,
0.39218,
0.45682,
0.53762,
0.63852,
0.76492
],
[
0.0657,
0.10453,
0.11205,
0.12083,
0.13096,
0.1426,
0.15615,
0.17197,
0.19087,
0.21357,
0.24117,
0.27497,
0.31677,
0.36867,
0.43327,
0.51417,
0.61527,
0.74167
],
[
0.0215,
0.0613,
0.0689,
0.0778,
0.088,
0.0997,
0.1133,
0.1293,
0.1482,
0.1709,
0.1985,
0.2323,
0.2741,
0.326,
0.3907,
0.4714,
0.5727,
0.6987
]
]
},
"cell_rise delay_template13x18": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00904,
0.01129,
0.01411,
0.01764,
0.02205,
0.02757,
0.03445,
0.04307,
0.05383,
0.0673,
0.08412,
0.10515,
0.13143,
0.16429,
0.20536,
0.2567,
0.32088
],
"values": [
[
0.09195,
0.12785,
0.13548,
0.14484,
0.15634,
0.17042,
0.18772,
0.20902,
0.23545,
0.26841,
0.3095,
0.36067,
0.42483,
0.50476,
0.60485,
0.72986,
0.8863,
1.08167
],
[
0.09378,
0.12968,
0.13731,
0.14667,
0.15817,
0.17225,
0.18956,
0.21084,
0.23732,
0.27015,
0.31123,
0.36255,
0.42656,
0.50654,
0.6066,
0.73181,
0.88813,
1.08344
],
[
0.09599,
0.13189,
0.13952,
0.14888,
0.16038,
0.17446,
0.19176,
0.21304,
0.2395,
0.2724,
0.31354,
0.36472,
0.42884,
0.50883,
0.60884,
0.73398,
0.89032,
1.08562
],
[
0.09913,
0.13503,
0.14266,
0.15202,
0.16351,
0.1776,
0.1949,
0.21618,
0.24264,
0.27553,
0.31666,
0.36783,
0.43195,
0.51202,
0.61206,
0.73713,
0.89343,
1.08858
],
[
0.10279,
0.13869,
0.14632,
0.15568,
0.16717,
0.18124,
0.19855,
0.21983,
0.24631,
0.27916,
0.32027,
0.37159,
0.43566,
0.5158,
0.61573,
0.74078,
0.89713,
1.09251
],
[
0.10628,
0.14218,
0.14981,
0.15917,
0.17066,
0.18474,
0.20204,
0.22336,
0.24979,
0.28266,
0.32375,
0.37496,
0.43912,
0.51913,
0.61916,
0.74422,
0.90062,
1.09592
],
[
0.10908,
0.14496,
0.15258,
0.16194,
0.17343,
0.18751,
0.20483,
0.22609,
0.25253,
0.2854,
0.32658,
0.37778,
0.44192,
0.52195,
0.62198,
0.74708,
0.90333,
1.09863
],
[
0.11054,
0.14642,
0.15405,
0.16341,
0.1749,
0.18896,
0.20627,
0.22755,
0.25405,
0.28695,
0.32804,
0.37923,
0.44327,
0.52332,
0.62346,
0.74855,
0.90486,
1.10026
],
[
0.10955,
0.14542,
0.15305,
0.1624,
0.17389,
0.18797,
0.20526,
0.22655,
0.25297,
0.28587,
0.32699,
0.37824,
0.44234,
0.52234,
0.62247,
0.74753,
0.90375,
1.09905
],
[
0.10414,
0.13999,
0.14761,
0.15697,
0.16845,
0.18253,
0.19983,
0.22109,
0.24757,
0.28049,
0.32157,
0.37272,
0.43682,
0.51689,
0.61704,
0.74199,
0.89829,
1.09369
],
[
0.091,
0.12683,
0.13445,
0.1438,
0.15528,
0.16934,
0.18664,
0.20797,
0.23438,
0.26727,
0.30841,
0.35957,
0.42377,
0.50372,
0.60372,
0.72872,
0.88522,
1.08032
],
[
0.06503,
0.10082,
0.10843,
0.11778,
0.12926,
0.14332,
0.16062,
0.18187,
0.20837,
0.24127,
0.28227,
0.33357,
0.39767,
0.47777,
0.57777,
0.70277,
0.85887,
1.05427
],
[
0.0186,
0.0543,
0.0619,
0.0713,
0.0827,
0.0968,
0.1141,
0.1354,
0.1618,
0.1947,
0.2358,
0.2871,
0.3511,
0.4312,
0.5313,
0.6564,
0.8128,
1.008
]
]
},
"fall_transition delay_template13x18": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00904,
0.01129,
0.01411,
0.01764,
0.02205,
0.02757,
0.03445,
0.04307,
0.05383,
0.0673,
0.08412,
0.10515,
0.13143,
0.16429,
0.20536,
0.2567,
0.32088
],
"values": [
[
0.0151,
0.04817,
0.05475,
0.06235,
0.07131,
0.08198,
0.09487,
0.11066,
0.13062,
0.15594,
0.18836,
0.22964,
0.28213,
0.34861,
0.43264,
0.53841,
0.6709,
0.83734
],
[
0.0151,
0.04819,
0.05473,
0.06237,
0.07131,
0.08197,
0.09486,
0.11063,
0.13063,
0.15596,
0.18827,
0.22963,
0.28211,
0.34862,
0.43241,
0.53831,
0.67103,
0.83714
],
[
0.0151,
0.04817,
0.05473,
0.06235,
0.07131,
0.08196,
0.09483,
0.11067,
0.13055,
0.1559,
0.18832,
0.22959,
0.28209,
0.34861,
0.43257,
0.53848,
0.67126,
0.8372
],
[
0.0151,
0.04819,
0.05471,
0.06235,
0.07131,
0.08197,
0.09484,
0.11067,
0.13061,
0.15595,
0.18836,
0.22961,
0.28213,
0.34857,
0.43263,
0.53824,
0.67055,
0.83709
],
[
0.01511,
0.04817,
0.05471,
0.06234,
0.07129,
0.08196,
0.09484,
0.11067,
0.13059,
0.15592,
0.18832,
0.22955,
0.28197,
0.34851,
0.43256,
0.53834,
0.6711,
0.83734
],
[
0.01513,
0.04816,
0.0547,
0.06233,
0.07129,
0.08194,
0.09484,
0.11065,
0.13061,
0.15593,
0.18832,
0.22962,
0.28207,
0.3485,
0.43239,
0.53813,
0.67115,
0.83729
],
[
0.01516,
0.04823,
0.05476,
0.06238,
0.07134,
0.08198,
0.09486,
0.11068,
0.13062,
0.15594,
0.18836,
0.22961,
0.2821,
0.34856,
0.43264,
0.53819,
0.67108,
0.83739
],
[
0.01523,
0.04819,
0.05473,
0.06236,
0.07133,
0.08201,
0.09487,
0.11069,
0.13059,
0.15598,
0.18839,
0.22963,
0.28212,
0.34867,
0.43249,
0.53827,
0.67106,
0.83726
],
[
0.01532,
0.04827,
0.05477,
0.06241,
0.07137,
0.08201,
0.09488,
0.11057,
0.13047,
0.15582,
0.1883,
0.22961,
0.28195,
0.34852,
0.43256,
0.5381,
0.67087,
0.83738
],
[
0.01559,
0.04843,
0.05495,
0.06255,
0.07149,
0.08211,
0.09499,
0.11076,
0.13069,
0.15603,
0.18824,
0.22926,
0.28166,
0.34837,
0.43251,
0.53816,
0.67052,
0.83729
],
[
0.01604,
0.04878,
0.05525,
0.06286,
0.07176,
0.08238,
0.09521,
0.11096,
0.13087,
0.1561,
0.18842,
0.22974,
0.28225,
0.34822,
0.43178,
0.53742,
0.67038,
0.8365
],
[
0.01688,
0.04942,
0.0559,
0.06342,
0.07227,
0.08286,
0.0956,
0.11132,
0.13111,
0.15639,
0.18871,
0.22987,
0.2823,
0.3487,
0.4327,
0.5384,
0.6696,
0.8357
],
[
0.0182,
0.0507,
0.0571,
0.0645,
0.0733,
0.0838,
0.0964,
0.1119,
0.1317,
0.1568,
0.189,
0.2302,
0.2826,
0.349,
0.4329,
0.5385,
0.6711,
0.837
]
]
},
"related_pin": "CLK",
"rise_transition delay_template13x18": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00904,
0.01129,
0.01411,
0.01764,
0.02205,
0.02757,
0.03445,
0.04307,
0.05383,
0.0673,
0.08412,
0.10515,
0.13143,
0.16429,
0.20536,
0.2567,
0.32088
],
"values": [
[
0.01352,
0.0554,
0.06554,
0.07816,
0.09403,
0.1139,
0.13887,
0.17016,
0.20963,
0.25883,
0.32106,
0.39931,
0.49673,
0.61924,
0.77155,
0.963,
1.20087,
1.50001
],
[
0.01353,
0.05538,
0.06554,
0.07817,
0.09402,
0.11392,
0.13888,
0.17017,
0.20951,
0.25888,
0.32085,
0.39889,
0.4964,
0.61852,
0.77131,
0.96233,
1.2014,
1.50025
],
[
0.01352,
0.05538,
0.06554,
0.07819,
0.09404,
0.11399,
0.13893,
0.17022,
0.20945,
0.25889,
0.32129,
0.39879,
0.49666,
0.61919,
0.77134,
0.96389,
1.20125,
1.50004
],
[
0.01351,
0.05539,
0.06553,
0.07819,
0.09412,
0.11397,
0.13883,
0.17025,
0.20938,
0.25904,
0.3211,
0.39886,
0.49634,
0.61876,
0.77166,
0.96341,
1.20114,
1.5001
],
[
0.01353,
0.0554,
0.06552,
0.07822,
0.09411,
0.11399,
0.13886,
0.17024,
0.20952,
0.25882,
0.32147,
0.3991,
0.49636,
0.61964,
0.7718,
0.96262,
1.20221,
1.49994
],
[
0.01352,
0.05539,
0.06553,
0.0782,
0.09412,
0.11396,
0.13897,
0.17019,
0.20939,
0.25903,
0.32093,
0.39951,
0.49699,
0.61872,
0.77158,
0.96219,
1.20126,
1.50029
],
[
0.01351,
0.05538,
0.06553,
0.0782,
0.09409,
0.11395,
0.13892,
0.17017,
0.20992,
0.25912,
0.3213,
0.39889,
0.49681,
0.61882,
0.77166,
0.96372,
1.20144,
1.50029
],
[
0.01352,
0.05538,
0.06555,
0.07817,
0.09407,
0.11398,
0.1389,
0.17001,
0.20946,
0.25886,
0.32109,
0.39928,
0.49662,
0.61951,
0.77166,
0.96303,
1.20134,
1.49946
],
[
0.01351,
0.05541,
0.06554,
0.07818,
0.09404,
0.11392,
0.13888,
0.17003,
0.2095,
0.25877,
0.32097,
0.39889,
0.49678,
0.61923,
0.77143,
0.96253,
1.20146,
1.49978
],
[
0.0135,
0.05541,
0.06556,
0.07822,
0.09415,
0.11394,
0.13885,
0.17024,
0.20939,
0.25887,
0.321,
0.39874,
0.49718,
0.61908,
0.77183,
0.96343,
1.20277,
1.50023
],
[
0.01353,
0.05543,
0.06557,
0.07824,
0.09406,
0.114,
0.13882,
0.17021,
0.20937,
0.25889,
0.32104,
0.39875,
0.49671,
0.61862,
0.77107,
0.96206,
1.20204,
1.5012
],
[
0.01354,
0.05545,
0.06561,
0.07826,
0.09417,
0.11394,
0.13887,
0.17017,
0.20947,
0.25885,
0.32083,
0.3988,
0.4968,
0.6183,
0.771,
0.9627,
1.2003,
1.5008
],
[
0.0135,
0.0555,
0.0657,
0.0783,
0.0941,
0.1139,
0.1388,
0.1701,
0.2095,
0.2588,
0.3212,
0.3996,
0.4967,
0.6188,
0.7724,
0.9626,
1.2017,
1.5001
]
]
},
"timing_sense": "non_unate",
"timing_type": "rising_edge"
}
]
},
"pin RESET_B": {
"capacitance": 0.00603,
"ccsn_first_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"index_2": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"values": [
[
4.49023,
0.381039,
0.22259,
0.212394,
0.200571,
0.194026,
0.187064,
0.179691,
0.171912,
0.163735,
0.155168,
0.146215,
0.136887,
0.1272,
0.117171,
0.106808,
0.0961366,
0.0851591,
0.0738725,
0.0622808,
0.0503909,
0.0382104,
0.0257468,
0.0130076,
-5.41883e-09,
-0.025316,
-0.0489532,
-0.12808,
-1.10427
],
[
4.45243,
0.350214,
0.198458,
0.191751,
0.18439,
0.180381,
0.176087,
0.171437,
0.166334,
0.160668,
0.15435,
0.147338,
0.13963,
0.131242,
0.122195,
0.112517,
0.102237,
0.0913695,
0.0799222,
0.0679094,
0.055349,
0.0422596,
0.0286596,
0.0145672,
-5.43066e-09,
-0.0285877,
-0.0553497,
-0.14053,
-1.1051
],
[
4.40155,
0.306214,
0.158256,
0.153543,
0.148516,
0.14585,
0.143059,
0.140114,
0.136984,
0.13362,
0.129959,
0.125904,
0.121329,
0.116064,
0.109949,
0.102909,
0.0949389,
0.08605,
0.0762548,
0.0655773,
0.0540465,
0.0416926,
0.0285466,
0.0146389,
-5.43232e-09,
-0.0293221,
-0.0572963,
-0.144728,
-1.10538
],
[
4.38006,
0.293607,
0.13955,
0.135486,
0.13119,
0.128932,
0.126581,
0.124122,
0.121531,
0.118779,
0.115821,
0.112598,
0.109032,
0.105007,
0.10034,
0.0948128,
0.0882866,
0.0807153,
0.0720968,
0.0624527,
0.0518138,
0.040214,
0.0276882,
0.0142718,
-5.42988e-09,
-0.0289722,
-0.0569894,
-0.144478,
-1.10536
],
[
4.35706,
0.291799,
0.118488,
0.115036,
0.11144,
0.109565,
0.107626,
0.105612,
0.103509,
0.101297,
0.09895,
0.0964285,
0.0936908,
0.090676,
0.0872799,
0.0833275,
0.0785539,
0.0727138,
0.0657037,
0.0575157,
0.0481743,
0.0377136,
0.0261714,
0.0135869,
-5.39546e-09,
-0.0281237,
-0.0558669,
-0.142793,
-1.10525
],
[
4.34497,
0.29306,
0.107463,
0.104078,
0.100818,
0.0991253,
0.0973806,
0.0955751,
0.0936977,
0.0917333,
0.0896603,
0.0874479,
0.0850666,
0.0824742,
0.0795981,
0.0763159,
0.072407,
0.0675503,
0.0615137,
0.0542325,
0.0457163,
0.035996,
0.0251094,
0.0130969,
-5.59153e-09,
-0.0274632,
-0.0549146,
-0.141251,
-1.10514
],
[
4.33262,
0.29431,
0.0980741,
0.0927669,
0.0898311,
0.0883143,
0.0867562,
0.0851498,
0.0834863,
0.0817542,
0.0799367,
0.0780091,
0.0759512,
0.0737352,
0.0713117,
0.068599,
0.0654467,
0.0615643,
0.0565917,
0.0503393,
0.0427757,
0.0339221,
0.0238143,
0.0124927,
-1.06106e-08,
-0.0266162,
-0.0536505,
-0.139139,
-1.10501
],
[
4.32017,
0.295081,
0.0945559,
0.0812965,
0.0786102,
0.0772631,
0.0758839,
0.0744672,
0.0730063,
0.0714927,
0.069913,
0.0682479,
0.0664839,
0.0646042,
0.062576,
0.0603461,
0.0578192,
0.0547978,
0.0509125,
0.0457975,
0.0393159,
0.0314618,
0.0222644,
0.0117629,
-1.16151e-07,
-0.0255605,
-0.0520336,
-0.136377,
-1.10482
],
[
4.30784,
0.295324,
0.0956865,
0.0705767,
0.0673161,
0.066131,
0.0649225,
0.0636859,
0.0624163,
0.0611072,
0.0597485,
0.0583249,
0.0568283,
0.0552496,
0.053568,
0.0517494,
0.0497346,
0.0474058,
0.0445065,
0.0405863,
0.0353083,
0.0285887,
0.0204394,
0.0108953,
-2.25018e-06,
-0.0242763,
-0.0500242,
-0.132885,
-1.1046
],
[
4.29587,
0.295221,
0.0982094,
0.0642838,
0.0561388,
0.0550874,
0.0540354,
0.0529634,
0.0518673,
0.0507422,
0.0495811,
0.0483747,
0.0471193,
0.0458094,
0.0444318,
0.0429652,
0.0413727,
0.0395862,
0.0374702,
0.0346821,
0.0306984,
0.0252465,
0.0182876,
0.00984564,
-4.15022e-05,
-0.022777,
-0.0476146,
-0.128635,
-1.10437
],
[
4.28453,
0.295113,
0.101105,
0.0631459,
0.0452971,
0.0440304,
0.0430303,
0.0420266,
0.041003,
0.0399537,
0.0388821,
0.0378157,
0.0367566,
0.0356776,
0.0345622,
0.0333962,
0.0321625,
0.0308507,
0.0293909,
0.0275688,
0.0249153,
0.0208839,
0.0152873,
0.00811872,
-0.000590359,
-0.0214995,
-0.0452138,
-0.124063,
-1.10465
],
[
4.27408,
0.295417,
0.1043,
0.0634068,
0.0350358,
0.0307987,
0.0289713,
0.0275023,
0.0260992,
0.0247103,
0.0233626,
0.0222047,
0.0212426,
0.0203467,
0.019471,
0.0186184,
0.0178337,
0.0171606,
0.0164636,
0.0155782,
0.014252,
0.0118682,
0.00791814,
0.00229181,
-0.00499696,
-0.0236979,
-0.0460237,
-0.122453,
-1.10916
],
[
4.26475,
0.296519,
0.107869,
0.0634345,
0.0256209,
0.0147105,
0.00922662,
0.00632644,
0.00410884,
0.00214737,
0.000383365,
-0.00099526,
-0.00198421,
-0.00279157,
-0.0034833,
-0.00403685,
-0.00438721,
-0.00453911,
-0.00465812,
-0.00486183,
-0.00526171,
-0.00620975,
-0.00846159,
-0.0124265,
-0.0181524,
-0.0343607,
-0.0550604,
-0.128962,
-1.12373
],
[
4.25676,
0.298736,
0.11186,
0.0631572,
0.0173329,
0.000284716,
-0.010803,
-0.0164988,
-0.0199474,
-0.0225975,
-0.0247979,
-0.0264436,
-0.0275461,
-0.0283527,
-0.0289434,
-0.0292854,
-0.0293321,
-0.0291927,
-0.0290115,
-0.0288639,
-0.0288075,
-0.0289575,
-0.0298121,
-0.0321858,
-0.0363379,
-0.0499053,
-0.0687864,
-0.140196,
-1.14493
],
[
4.2503,
0.30234,
0.116288,
0.0629658,
0.010461,
-0.0113534,
-0.0276757,
-0.0375114,
-0.042878,
-0.046486,
-0.0492449,
-0.0512345,
-0.0525128,
-0.0533639,
-0.0538749,
-0.0540099,
-0.0538328,
-0.0535072,
-0.0531375,
-0.0527775,
-0.0524663,
-0.0522491,
-0.0522632,
-0.053186,
-0.055754,
-0.0664872,
-0.0833239,
-0.152362,
-1.16911
],
[
4.24555,
0.307577,
0.12117,
0.0633333,
0.00529447,
-0.0202497,
-0.040819,
-0.0552698,
-0.0634778,
-0.0684678,
-0.0719843,
-0.0744253,
-0.0759401,
-0.0768501,
-0.0772501,
-0.077201,
-0.0768794,
-0.0764325,
-0.0759393,
-0.0754443,
-0.0749806,
-0.07458,
-0.0742834,
-0.0742782,
-0.0754032,
-0.0831473,
-0.0977284,
-0.164568,
-1.19568
],
[
4.24273,
0.314646,
0.126564,
0.0646671,
0.00205294,
-0.0264567,
-0.0503948,
-0.0690016,
-0.0808987,
-0.0878834,
-0.0924552,
-0.0954976,
-0.0973107,
-0.0982651,
-0.0985569,
-0.0984072,
-0.098021,
-0.0975199,
-0.0969703,
-0.0964134,
-0.0958812,
-0.0954036,
-0.0950115,
-0.0947441,
-0.0949437,
-0.099713,
-0.111896,
-0.176643,
-1.22479
],
[
4.24194,
0.323528,
0.132388,
0.0670165,
0.000584742,
-0.0303249,
-0.056962,
-0.0789132,
-0.0947593,
-0.104489,
-0.110551,
-0.114402,
-0.116575,
-0.117592,
-0.11787,
-0.117732,
-0.117374,
-0.116901,
-0.116375,
-0.115837,
-0.115319,
-0.114852,
-0.114465,
-0.114188,
-0.114105,
-0.116379,
-0.125987,
-0.188514,
-1.25662
],
[
4.24288,
0.333607,
0.138094,
0.0697976,
0.000142006,
-0.0327403,
-0.0615708,
-0.0861365,
-0.105473,
-0.118511,
-0.126588,
-0.131479,
-0.134078,
-0.135247,
-0.135639,
-0.135617,
-0.135369,
-0.134996,
-0.13456,
-0.134102,
-0.133657,
-0.133256,
-0.132931,
-0.132709,
-0.132618,
-0.133455,
-0.14019,
-0.200129,
-1.29123
],
[
4.24471,
0.343952,
0.143115,
0.0723923,
3.47104e-05,
-0.034466,
-0.0650791,
-0.0916896,
-0.113733,
-0.130036,
-0.140549,
-0.146695,
-0.149829,
-0.151292,
-0.15192,
-0.152105,
-0.152037,
-0.151823,
-0.151527,
-0.151196,
-0.150865,
-0.150569,
-0.15034,
-0.150208,
-0.150189,
-0.150594,
-0.154578,
-0.211428,
-1.32846
],
[
4.24676,
0.354009,
0.147321,
0.0746059,
8.70142e-06,
-0.035813,
-0.0678721,
-0.0960947,
-0.120142,
-0.139094,
-0.152054,
-0.159592,
-0.163431,
-0.165358,
-0.166353,
-0.166842,
-0.167033,
-0.167044,
-0.166946,
-0.166792,
-0.166622,
-0.166472,
-0.166379,
-0.166373,
-0.166469,
-0.166943,
-0.169043,
-0.222304,
-1.36789
],
[
4.24878,
0.363526,
0.150793,
0.0764447,
2.19715e-06,
-0.0368957,
-0.0701289,
-0.0996346,
-0.125173,
-0.146014,
-0.160918,
-0.169759,
-0.174419,
-0.176953,
-0.178433,
-0.179326,
-0.179861,
-0.180171,
-0.180338,
-0.180421,
-0.180466,
-0.180514,
-0.180605,
-0.180771,
-0.181028,
-0.181768,
-0.183112,
-0.232554,
-1.40863
],
[
4.25071,
0.372334,
0.153644,
0.0779562,
5.52226e-07,
-0.0377726,
-0.0719599,
-0.102495,
-0.129168,
-0.151299,
-0.167456,
-0.17724,
-0.182641,
-0.185792,
-0.187799,
-0.189145,
-0.190076,
-0.190738,
-0.191223,
-0.191595,
-0.191907,
-0.192203,
-0.192527,
-0.192913,
-0.193379,
-0.194503,
-0.195871,
-0.241842,
-1.44926
],
[
4.25252,
0.380273,
0.155973,
0.0791898,
1.40805e-07,
-0.0384827,
-0.0734457,
-0.104811,
-0.132364,
-0.155387,
-0.172262,
-0.182586,
-0.188492,
-0.19212,
-0.194565,
-0.196311,
-0.197609,
-0.198609,
-0.199408,
-0.200075,
-0.200665,
-0.201226,
-0.201803,
-0.202435,
-0.203139,
-0.204716,
-0.206434,
-0.249731,
-1.48773
],
[
4.25419,
0.387199,
0.157866,
0.0801887,
4.83168e-08,
-0.0390554,
-0.074648,
-0.106685,
-0.134932,
-0.158591,
-0.175865,
-0.186456,
-0.192652,
-0.19658,
-0.199318,
-0.201347,
-0.202914,
-0.204171,
-0.205218,
-0.206123,
-0.206945,
-0.207733,
-0.208532,
-0.209384,
-0.210307,
-0.212319,
-0.214449,
-0.25586,
-1.52168
],
[
4.25703,
0.397649,
0.160602,
0.0816223,
3.59597e-08,
-0.0398774,
-0.0763888,
-0.109409,
-0.138651,
-0.163131,
-0.180766,
-0.191543,
-0.197986,
-0.202196,
-0.205225,
-0.207541,
-0.209391,
-0.210925,
-0.212242,
-0.213415,
-0.214502,
-0.215553,
-0.216617,
-0.217736,
-0.218931,
-0.221501,
-0.224212,
-0.263262,
-1.56999
],
[
4.2591,
0.403838,
0.162272,
0.0824824,
4.33295e-08,
-0.0403763,
-0.077471,
-0.11113,
-0.141013,
-0.165979,
-0.183757,
-0.194577,
-0.201112,
-0.205439,
-0.208592,
-0.211034,
-0.213007,
-0.214662,
-0.216098,
-0.217388,
-0.21859,
-0.219756,
-0.220935,
-0.22217,
-0.223482,
-0.226296,
-0.229267,
-0.267326,
-1.59591
],
[
4.26118,
0.40872,
0.163394,
0.0829866,
9.09402e-08,
-0.0407289,
-0.0784034,
-0.112807,
-0.143501,
-0.169101,
-0.187073,
-0.197961,
-0.204606,
-0.209065,
-0.212357,
-0.214935,
-0.217042,
-0.218826,
-0.220388,
-0.221801,
-0.223123,
-0.224407,
-0.225702,
-0.227051,
-0.228477,
-0.231518,
-0.23473,
-0.273349,
-1.62281
],
[
4.26016,
0.402896,
0.159561,
0.0808331,
2.88288e-07,
-0.0397009,
-0.0766917,
-0.110709,
-0.141283,
-0.167037,
-0.185333,
-0.196443,
-0.203212,
-0.207751,
-0.211101,
-0.213727,
-0.215876,
-0.217699,
-0.219298,
-0.220744,
-0.222098,
-0.223412,
-0.224736,
-0.226111,
-0.227561,
-0.230643,
-0.233891,
-0.272528,
-1.62084
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000443,
"miller_cap_rise": 0.000421,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.01865504,
0.02595323,
0.03356048,
0.04220228,
0.05605533
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.06656389,
0.07896871,
0.0888203,
0.09856946,
0.1124822
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.02516984,
0.04322759,
0.06402216,
0.08671315,
0.1232217
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.08494492,
0.1066706,
0.1274896,
0.1501208,
0.1866267
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.9054931640625
],
"index_2": [
0.994638254255912
],
"index_3": [
0.001
],
"index_4": [
0.4946545,
0.5253026,
0.583343,
0.6392007,
0.6799922
],
"values": [
1.5441021,
1.30056336,
1.1382042,
1.30056336,
1.5441021
]
},
{
"index_1": [
1.0635498046875
],
"index_2": [
0.424103711518687
],
"index_3": [
0.001
],
"index_4": [
0.2147742,
0.2353892,
0.2754658,
0.3204989,
0.3546736
],
"values": [
1.4102425,
1.086388,
0.870485,
1.086388,
1.4102425
]
},
{
"index_1": [
1.2216064453125
],
"index_2": [
0.245197684812904
],
"index_3": [
0.001
],
"index_4": [
0.1268786,
0.1422756,
0.1723353,
0.2081712,
0.2362155
],
"values": [
1.3687095,
1.0199352,
0.787419,
1.0199352,
1.3687095
]
},
{
"index_1": [
0.9054931640625
],
"index_2": [
0.497319127127956
],
"index_3": [
0.001
],
"index_4": [
0.2513098,
0.2668675,
0.2992733,
0.3273965,
0.3572163
],
"values": [
1.749523,
1.6292368,
1.549046,
1.6292368,
1.749523
]
},
{
"index_1": [
1.0635498046875
],
"index_2": [
0.212051855759344
],
"index_3": [
0.001
],
"index_4": [
0.1121604,
0.1228176,
0.1436964,
0.1657773,
0.1901706
],
"values": [
1.69257955,
1.53812728,
1.4351591,
1.53812728,
1.69257955
]
},
{
"index_1": [
1.2216064453125
],
"index_2": [
0.122598842406452
],
"index_3": [
0.001
],
"index_4": [
0.06811454,
0.07607194,
0.0897306,
0.1097754,
0.1296877
],
"values": [
1.67663545,
1.51261672,
1.4032709,
1.51261672,
1.67663545
]
},
{
"index_1": [
1.0635498046875
],
"index_2": [
0.636155567278031
],
"index_3": [
0.001
],
"index_4": [
0.314827,
0.3455414,
0.4022678,
0.4682289,
0.5182404
],
"values": [
1.1922375,
0.73758,
0.434475,
0.73758,
1.1922375
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.15334686135489
],
"index_2": [
1.67034070226005
],
"index_3": [
0.001
],
"index_4": [
0.7899018,
0.8323553,
0.8668979,
0.9063248,
0.9470795
],
"values": [
0.08281645,
0.13250632,
0.1656329,
0.13250632,
0.08281645
]
},
{
"index_1": [
1.3053955078125
],
"index_2": [
0.901442397459464
],
"index_3": [
0.001
],
"index_4": [
0.4408913,
0.465302,
0.5011996,
0.5396504,
0.5648971
],
"values": [
0.26067345,
0.41707752,
0.5213469,
0.41707752,
0.26067345
]
},
{
"index_1": [
1.4596435546875
],
"index_2": [
0.56016276416537
],
"index_3": [
0.001
],
"index_4": [
0.2754518,
0.300041,
0.3351457,
0.3712273,
0.3926984
],
"values": [
0.39852865,
0.63764584,
0.7970573,
0.63764584,
0.39852865
]
},
{
"index_1": [
1.15334686135489
],
"index_2": [
0.835170351130025
],
"index_3": [
0.001
],
"index_4": [
0.3963884,
0.419494,
0.4443285,
0.469674,
0.496374
],
"values": [
0.0661404,
0.10582464,
0.1322808,
0.10582464,
0.0661404
]
},
{
"index_1": [
1.3053955078125
],
"index_2": [
0.450721198729732
],
"index_3": [
0.001
],
"index_4": [
0.2219051,
0.2354639,
0.2552287,
0.2780386,
0.2946568
],
"values": [
0.1544872,
0.24717952,
0.3089744,
0.24717952,
0.1544872
]
},
{
"index_1": [
1.4596435546875
],
"index_2": [
0.280081382082685
],
"index_3": [
0.001
],
"index_4": [
0.140743,
0.1531851,
0.1731136,
0.1905044,
0.2039375
],
"values": [
0.22118265,
0.35389224,
0.4423653,
0.35389224,
0.22118265
]
},
{
"index_1": [
1.3053955078125
],
"index_2": [
1.3521635961892
],
"index_3": [
0.001
],
"index_4": [
0.6591421,
0.6929187,
0.745421,
0.7999199,
0.8335171
],
"values": [
0.3441266,
0.55060256,
0.6882532,
0.55060256,
0.3441266
]
}
]
},
"stage_type": "both"
},
"clock": "false",
"direction": "input",
"internal_power": {
"fall_power hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.01028,
0.02465,
0.01314,
0.01216,
0.01002,
0.0099,
0.00991,
0.00969,
0.00991,
0.01061,
0.01059,
0.01143,
0.01144
]
},
"rise_power hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.01012,
0.00986,
0.02191,
0.0092,
0.00973,
0.00977,
0.00981,
0.00963,
0.00904,
0.01053,
0.01049,
0.01131,
0.01131
]
}
},
"max_transition": 1.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"related_pin": "CLK",
"rise_constraint rr_template10x10": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.12012,
0.11426,
0.11133,
0.11133,
0.12012,
0.14648,
0.17578,
0.20801,
0.2373,
0.26953
],
[
0.12305,
0.11719,
0.11426,
0.11426,
0.12305,
0.14941,
0.17871,
0.21094,
0.24023,
0.27246
],
[
0.12598,
0.12012,
0.11719,
0.11719,
0.12891,
0.15527,
0.18457,
0.21387,
0.24609,
0.27832
],
[
0.13477,
0.12891,
0.12598,
0.12598,
0.13477,
0.16113,
0.19043,
0.22266,
0.25195,
0.28418
],
[
0.14648,
0.14062,
0.1377,
0.1377,
0.14648,
0.17285,
0.20215,
0.23438,
0.2666,
0.29883
],
[
0.16113,
0.15527,
0.15234,
0.15234,
0.1582,
0.1875,
0.2168,
0.24609,
0.27832,
0.31055
],
[
0.16992,
0.16406,
0.16113,
0.16113,
0.16699,
0.19629,
0.22559,
0.25488,
0.28711,
0.32227
],
[
0.17578,
0.16992,
0.16699,
0.16699,
0.17285,
0.19922,
0.23145,
0.26074,
0.29297,
0.32812
],
[
0.17871,
0.17285,
0.16992,
0.16992,
0.17578,
0.20215,
0.23145,
0.26367,
0.29883,
0.33398
],
[
0.17871,
0.17578,
0.17285,
0.17285,
0.17871,
0.20508,
0.23438,
0.2666,
0.29883,
0.33398
]
]
},
"timing_type": "recovery_rising"
},
{
"related_pin": "CLK",
"rise_constraint rr_template10x10": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.06152,
-0.05566,
-0.05859,
-0.06445,
-0.0791,
-0.11134,
-0.14062,
-0.16699,
-0.20216,
-0.23437
],
[
-0.05859,
-0.05859,
-0.06152,
-0.06738,
-0.08203,
-0.10841,
-0.14355,
-0.16992,
-0.20509,
-0.2373
],
[
-0.06152,
-0.06152,
-0.06445,
-0.07031,
-0.08203,
-0.10841,
-0.13769,
-0.17285,
-0.19923,
-0.23144
],
[
-0.06445,
-0.06445,
-0.06152,
-0.07324,
-0.08203,
-0.11427,
-0.14355,
-0.16992,
-0.20509,
-0.2373
],
[
-0.07032,
-0.06446,
-0.06738,
-0.07324,
-0.0879,
-0.12011,
-0.14941,
-0.17578,
-0.208,
-0.24023
],
[
-0.07325,
-0.07325,
-0.07032,
-0.07618,
-0.09376,
-0.11718,
-0.15234,
-0.18751,
-0.21386,
-0.24609
],
[
-0.07032,
-0.07032,
-0.07325,
-0.07325,
-0.09083,
-0.12011,
-0.15527,
-0.19044,
-0.22265,
-0.25195
],
[
-0.07032,
-0.06446,
-0.06739,
-0.07325,
-0.09083,
-0.12304,
-0.14941,
-0.19044,
-0.22265,
-0.25196
],
[
-0.06153,
-0.06153,
-0.0586,
-0.06446,
-0.08204,
-0.11425,
-0.14941,
-0.18751,
-0.21679,
-0.25196
],
[
-0.05567,
-0.05274,
-0.04981,
-0.05567,
-0.07325,
-0.11132,
-0.14648,
-0.17872,
-0.21679,
-0.25196
]
]
},
"timing_type": "removal_rising"
},
{
"fall_constraint pulse_width_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
1.01667,
1.02892,
1.04337,
1.06505,
1.09758,
1.14637,
1.21953,
1.32928,
1.4939,
1.74082,
2.11117,
2.66667,
3.5
]
},
"related_pin": "RESET_B",
"rise_constraint pulse_width_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
1.01667,
1.02892,
1.04337,
1.06505,
1.09758,
1.14637,
1.21953,
1.32928,
1.4939,
1.74082,
2.11117,
2.66667,
3.5
]
},
"timing_type": "min_pulse_width"
}
]
},
"pin SCD": {
"capacitance": 0.00192,
"ccsn_first_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"index_2": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"values": [
[
5.70242,
0.320451,
0.090202,
0.0874321,
0.0845813,
0.0831186,
0.0816282,
0.080107,
0.0785503,
0.0769512,
0.0752987,
0.073574,
0.0717418,
0.0697277,
0.0673617,
0.0643436,
0.0604222,
0.0555346,
0.0497224,
0.0430581,
0.0356279,
0.0275219,
0.0188286,
0.00963027,
-5.46394e-08,
-0.0197317,
-0.0395391,
-0.154779,
-2.42056
],
[
5.70707,
0.326561,
0.0973012,
0.0942974,
0.0911991,
0.0896073,
0.0879842,
0.0863262,
0.0846285,
0.0828837,
0.0810799,
0.0791974,
0.0771997,
0.0750113,
0.0724641,
0.0692551,
0.0651093,
0.0599403,
0.053776,
0.0466786,
0.0387253,
0.0299995,
0.0205845,
0.0105604,
-4.91453e-08,
-0.0217322,
-0.043578,
-0.16277,
-2.4211
],
[
5.7063,
0.32664,
0.096854,
0.0940006,
0.0910423,
0.0895166,
0.0879567,
0.0863592,
0.0847193,
0.0830295,
0.0812783,
0.079446,
0.0774967,
0.0753563,
0.0728597,
0.0697087,
0.0656279,
0.0605224,
0.0544086,
0.0473359,
0.0393692,
0.03058,
0.0210417,
0.010826,
-3.99044e-08,
-0.0224191,
-0.0450811,
-0.166235,
-2.42135
],
[
5.7047,
0.331687,
0.0943159,
0.0916619,
0.0888986,
0.0874686,
0.0860033,
0.0844994,
0.082952,
0.081354,
0.0796941,
0.0779531,
0.076096,
0.07405,
0.0716525,
0.0686099,
0.0646531,
0.059687,
0.0537218,
0.0467997,
0.0389775,
0.0303199,
0.0208941,
0.0107665,
-3.3965e-08,
-0.0223844,
-0.0451055,
-0.166659,
-2.42138
],
[
5.70195,
0.349701,
0.0893924,
0.0870812,
0.0846626,
0.0834028,
0.0821064,
0.0807702,
0.0793895,
0.0779575,
0.0764635,
0.0748894,
0.0732018,
0.0713305,
0.0691168,
0.066276,
0.0625512,
0.057848,
0.0521672,
0.045539,
0.0380091,
0.0296316,
0.0204651,
0.0105687,
-2.79435e-08,
-0.0221078,
-0.0446966,
-0.166415,
-2.42136
],
[
5.69972,
0.360782,
0.085692,
0.0835367,
0.0813741,
0.0802412,
0.079071,
0.0778602,
0.0766045,
0.0752972,
0.0739283,
0.0724802,
0.070921,
0.0691826,
0.0671097,
0.0644247,
0.0608795,
0.0563799,
0.0509194,
0.0445194,
0.0372175,
0.029061,
0.0201028,
0.0103978,
-2.62543e-08,
-0.0218457,
-0.0442722,
-0.165955,
-2.42133
],
[
5.69666,
0.370435,
0.0818023,
0.0788146,
0.0769833,
0.0760159,
0.0750104,
0.073964,
0.0728722,
0.071729,
0.0705248,
0.0692432,
0.067854,
0.0662924,
0.0644079,
0.0619331,
0.0586315,
0.0544071,
0.0492428,
0.0431484,
0.0361512,
0.0282899,
0.0196108,
0.0101639,
-5.13254e-08,
-0.0214779,
-0.0436617,
-0.16521,
-2.42128
],
[
5.69272,
0.377254,
0.0811426,
0.0725949,
0.0711472,
0.0703892,
0.0695944,
0.0687594,
0.0678802,
0.0669508,
0.0659623,
0.0648999,
0.0637357,
0.0624095,
0.0607791,
0.0585916,
0.0556241,
0.0517755,
0.0470124,
0.0413285,
0.0347372,
0.0272672,
0.0189572,
0.00985218,
-6.096e-07,
-0.020983,
-0.0428293,
-0.164123,
-2.42121
],
[
5.68817,
0.381557,
0.0839944,
0.0651016,
0.0635869,
0.0630485,
0.0624832,
0.0618869,
0.061255,
0.0605813,
0.0598573,
0.0590693,
0.0581925,
0.0571734,
0.0558827,
0.0540902,
0.0515876,
0.0482597,
0.0440465,
0.0389182,
0.0328699,
0.0259177,
0.0180925,
0.00943451,
-1.13286e-05,
-0.0203446,
-0.0417421,
-0.162636,
-2.42111
],
[
5.68333,
0.38445,
0.087354,
0.0596333,
0.0546454,
0.0542411,
0.0538309,
0.0534043,
0.052958,
0.0524875,
0.0519866,
0.051445,
0.050844,
0.0501407,
0.0492296,
0.0479207,
0.046028,
0.0434078,
0.0399551,
0.0355892,
0.0302728,
0.0240073,
0.0168168,
0.00873882,
-0.000181759,
-0.0196827,
-0.0405031,
-0.160804,
-2.42103
],
[
5.67846,
0.386704,
0.0901282,
0.0584615,
0.0450874,
0.0445113,
0.044098,
0.0436816,
0.0432503,
0.0427988,
0.0423222,
0.0418133,
0.0412592,
0.0406289,
0.0398431,
0.0387759,
0.0373403,
0.0354824,
0.0330202,
0.0296931,
0.0253705,
0.0200202,
0.0136601,
0.00633022,
-0.00191952,
-0.0203755,
-0.0404789,
-0.159798,
-2.42151
],
[
5.67375,
0.388721,
0.0920826,
0.0577386,
0.0353305,
0.0323482,
0.0312445,
0.0304021,
0.0296123,
0.0288325,
0.0280467,
0.0272453,
0.0264177,
0.025541,
0.0245524,
0.0233761,
0.0220343,
0.020597,
0.018947,
0.0168052,
0.0137983,
0.00968429,
0.00442816,
-0.00192441,
-0.00930885,
-0.0264485,
-0.0456631,
-0.163036,
-2.424
],
[
5.66937,
0.390716,
0.0930544,
0.0557303,
0.0262379,
0.0178882,
0.0139201,
0.0119896,
0.0105779,
0.00934981,
0.00820894,
0.00711649,
0.00605166,
0.00499159,
0.0038805,
0.00266471,
0.00139619,
0.000147391,
-0.00115526,
-0.00260294,
-0.00437067,
-0.0069306,
-0.0107171,
-0.0157832,
-0.0220448,
-0.0375076,
-0.0556081,
-0.170013,
-2.42832
],
[
5.66546,
0.392786,
0.0930707,
0.0529997,
0.0184161,
0.00557431,
-0.00297054,
-0.00735031,
-0.00979406,
-0.0115902,
-0.0131014,
-0.0144534,
-0.0157007,
-0.0168782,
-0.0180466,
-0.0192532,
-0.0204302,
-0.0215414,
-0.0226554,
-0.0238052,
-0.0250141,
-0.0263988,
-0.0284777,
-0.0319018,
-0.0367388,
-0.0501065,
-0.0668494,
-0.177724,
-2.43322
],
[
5.66215,
0.394929,
0.0925478,
0.0502741,
0.011957,
-0.00397574,
-0.0163777,
-0.0245238,
-0.0288887,
-0.0315865,
-0.0336088,
-0.035285,
-0.0367441,
-0.0380502,
-0.0392764,
-0.0404608,
-0.0415357,
-0.0425201,
-0.043471,
-0.0444049,
-0.0453241,
-0.0462386,
-0.0472312,
-0.0488688,
-0.0519795,
-0.0627867,
-0.0779168,
-0.185054,
-2.43823
],
[
5.65951,
0.397099,
0.092041,
0.0480546,
0.00693024,
-0.0111826,
-0.0263581,
-0.0380616,
-0.0454487,
-0.0496638,
-0.0524641,
-0.0545949,
-0.0563362,
-0.0578087,
-0.0591028,
-0.060246,
-0.0612101,
-0.0620539,
-0.0628254,
-0.0635405,
-0.0642043,
-0.0648198,
-0.0653946,
-0.066003,
-0.0673686,
-0.075171,
-0.0884502,
-0.191868,
-2.44331
],
[
5.65761,
0.399287,
0.091985,
0.04666,
0.00338889,
-0.0163168,
-0.0335002,
-0.0478405,
-0.0585341,
-0.0651321,
-0.0691643,
-0.0719662,
-0.0741013,
-0.0757947,
-0.0771634,
-0.0782459,
-0.0790817,
-0.0797574,
-0.0803275,
-0.0808181,
-0.0812436,
-0.0816137,
-0.0819364,
-0.082223,
-0.0826301,
-0.0872901,
-0.0985534,
-0.198206,
-2.44842
],
[
5.65645,
0.401565,
0.0926135,
0.0462301,
0.0012941,
-0.019605,
-0.0382753,
-0.0545079,
-0.0678381,
-0.0773669,
-0.0832975,
-0.0871244,
-0.0898296,
-0.0918232,
-0.0932811,
-0.094298,
-0.0950083,
-0.0955369,
-0.095954,
-0.0962965,
-0.0965857,
-0.0968352,
-0.0970547,
-0.0972515,
-0.0974489,
-0.0996174,
-0.108585,
-0.20413,
-2.4535
],
[
5.65596,
0.403961,
0.0938626,
0.0466245,
0.00037171,
-0.021444,
-0.0412427,
-0.0588728,
-0.0740705,
-0.0862159,
-0.0946374,
-0.100009,
-0.10356,
-0.105977,
-0.10757,
-0.108586,
-0.10927,
-0.109774,
-0.110171,
-0.110501,
-0.110786,
-0.111038,
-0.111266,
-0.111475,
-0.111672,
-0.112565,
-0.118813,
-0.209816,
-2.45853
],
[
5.6559,
0.406265,
0.0953502,
0.0474065,
9.16779e-05,
-0.0224447,
-0.0431204,
-0.0618143,
-0.0783551,
-0.092395,
-0.103273,
-0.110703,
-0.115478,
-0.118496,
-0.120341,
-0.121497,
-0.122288,
-0.122881,
-0.123356,
-0.123755,
-0.124102,
-0.124411,
-0.124692,
-0.124951,
-0.125192,
-0.125751,
-0.129359,
-0.215613,
-2.46347
],
[
5.65598,
0.408228,
0.0967213,
0.048197,
2.40207e-05,
-0.0230844,
-0.0444499,
-0.063968,
-0.081509,
-0.0968601,
-0.109599,
-0.119151,
-0.12549,
-0.12934,
-0.131617,
-0.133053,
-0.134054,
-0.134815,
-0.135429,
-0.135947,
-0.136399,
-0.136801,
-0.137166,
-0.137502,
-0.137814,
-0.138409,
-0.140216,
-0.221627,
-2.46828
],
[
5.65608,
0.409795,
0.0978667,
0.0488705,
7.28347e-06,
-0.0235566,
-0.0454678,
-0.0656313,
-0.0839358,
-0.100225,
-0.114219,
-0.125414,
-0.133306,
-0.138158,
-0.141044,
-0.1429,
-0.144218,
-0.14523,
-0.146053,
-0.146749,
-0.147355,
-0.147894,
-0.148382,
-0.14883,
-0.149245,
-0.150005,
-0.15107,
-0.227572,
-2.47288
],
[
5.65617,
0.411026,
0.0987959,
0.0494195,
2.72522e-06,
-0.0239244,
-0.0462699,
-0.0669433,
-0.0858411,
-0.102828,
-0.117678,
-0.129949,
-0.138976,
-0.144728,
-0.148275,
-0.150635,
-0.152352,
-0.153693,
-0.154793,
-0.15573,
-0.156549,
-0.157278,
-0.157939,
-0.158544,
-0.159105,
-0.16012,
-0.161142,
-0.233088,
-2.47715
],
[
5.65623,
0.411979,
0.0995405,
0.0498604,
1.41638e-06,
-0.0242157,
-0.0469081,
-0.0679872,
-0.087352,
-0.104873,
-0.120336,
-0.133298,
-0.143008,
-0.149351,
-0.153407,
-0.156206,
-0.158304,
-0.159978,
-0.161375,
-0.162579,
-0.163641,
-0.164595,
-0.165463,
-0.166262,
-0.167004,
-0.16835,
-0.169608,
-0.237802,
-2.48092
],
[
5.65627,
0.412705,
0.100131,
0.050211,
1.03491e-06,
-0.0244472,
-0.0474171,
-0.0688206,
-0.0885565,
-0.106494,
-0.122415,
-0.135842,
-0.14595,
-0.152622,
-0.156985,
-0.160074,
-0.162441,
-0.164364,
-0.165996,
-0.167421,
-0.168693,
-0.169848,
-0.170908,
-0.171892,
-0.172812,
-0.174498,
-0.17606,
-0.241392,
-2.48403
],
[
5.65632,
0.413624,
0.100947,
0.0506999,
8.99932e-07,
-0.0247746,
-0.0481432,
-0.0700154,
-0.0902863,
-0.108816,
-0.125363,
-0.139368,
-0.149881,
-0.156846,
-0.161489,
-0.164852,
-0.167482,
-0.169658,
-0.171532,
-0.173194,
-0.174696,
-0.176076,
-0.177359,
-0.178563,
-0.179701,
-0.181821,
-0.183809,
-0.245295,
-2.48805
],
[
5.65633,
0.414008,
0.101397,
0.0509778,
8.96931e-07,
-0.0249708,
-0.0485888,
-0.0707609,
-0.0913772,
-0.110288,
-0.127227,
-0.141568,
-0.152277,
-0.159364,
-0.164125,
-0.167604,
-0.170346,
-0.172628,
-0.174604,
-0.176363,
-0.17796,
-0.179432,
-0.180805,
-0.182098,
-0.183325,
-0.18562,
-0.187782,
-0.247123,
-2.49006
],
[
5.65621,
0.413229,
0.101442,
0.0510683,
9.14333e-07,
-0.0251094,
-0.0489736,
-0.071492,
-0.0925425,
-0.111955,
-0.12942,
-0.144204,
-0.155161,
-0.162393,
-0.167287,
-0.170896,
-0.17376,
-0.176157,
-0.17824,
-0.180101,
-0.181795,
-0.18336,
-0.184823,
-0.186202,
-0.187513,
-0.18997,
-0.19229,
-0.250545,
-2.49227
],
[
5.6558,
0.409072,
0.0996239,
0.0501559,
9.96103e-07,
-0.0247108,
-0.0482939,
-0.0706421,
-0.0916246,
-0.111061,
-0.128628,
-0.143571,
-0.154692,
-0.162039,
-0.167009,
-0.170673,
-0.173582,
-0.176016,
-0.178134,
-0.180027,
-0.181751,
-0.183344,
-0.184835,
-0.186241,
-0.187578,
-0.190085,
-0.192454,
-0.250819,
-2.49245
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000305,
"miller_cap_rise": 0.000245,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.02496016,
0.04935495,
0.0759445,
0.1142576,
0.1693652
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.07701851,
0.1046358,
0.1306147,
0.1686733,
0.223746
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.04730018,
0.09494332,
0.1508916,
0.2235995,
0.37127
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.1078011,
0.155317,
0.2112129,
0.2837297,
0.4317557
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.8635986328125
],
"index_2": [
2
],
"index_3": [
0.001
],
"index_4": [
0.9524585,
1.01596,
1.112095,
1.22971,
1.332705
],
"values": [
1.7585362,
1.64365792,
1.5670724,
1.64365792,
1.7585362
]
},
{
"index_1": [
1.0292724609375
],
"index_2": [
0.994184966341968
],
"index_3": [
0.001
],
"index_4": [
0.4810661,
0.5272268,
0.600846,
0.6946965,
0.7783454
],
"values": [
1.6109296,
1.40748736,
1.2718592,
1.40748736,
1.6109296
]
},
{
"index_1": [
1.1949462890625
],
"index_2": [
0.583706524702952
],
"index_3": [
0.001
],
"index_4": [
0.2847069,
0.3196794,
0.3762317,
0.4523739,
0.5254125
],
"values": [
1.5482451,
1.30719216,
1.1464902,
1.30719216,
1.5482451
]
},
{
"index_1": [
0.8635986328125
],
"index_2": [
1.13509784596945
],
"index_3": [
0.001
],
"index_4": [
0.5454982,
0.5827046,
0.6489282,
0.7280987,
0.8110395
],
"values": [
1.8220062,
1.74520992,
1.6940124,
1.74520992,
1.8220062
]
},
{
"index_1": [
1.0292724609375
],
"index_2": [
0.497092483170984
],
"index_3": [
0.001
],
"index_4": [
0.2436121,
0.2672758,
0.3107904,
0.3635235,
0.433976
],
"values": [
1.77188705,
1.66501928,
1.5937741,
1.66501928,
1.77188705
]
},
{
"index_1": [
1.1949462890625
],
"index_2": [
0.291853262351476
],
"index_3": [
0.001
],
"index_4": [
0.1460215,
0.1638392,
0.1940693,
0.2360775,
0.2991938
],
"values": [
1.7448351,
1.62173616,
1.5396702,
1.62173616,
1.7448351
]
},
{
"index_1": [
1.0292724609375
],
"index_2": [
1.49127744951295
],
"index_3": [
0.001
],
"index_4": [
0.7162649,
0.7808412,
0.9100172,
1.036704,
1.12929
],
"values": [
1.47946435,
1.19714296,
1.0089287,
1.19714296,
1.47946435
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.11937427473841
],
"index_2": [
2
],
"index_3": [
0.001
],
"index_4": [
0.9346178,
0.9987634,
1.057493,
1.13343,
1.21109
],
"values": [
0.07091685,
0.11346696,
0.1418337,
0.11346696,
0.07091685
]
},
{
"index_1": [
1.2063720703125
],
"index_2": [
2
],
"index_3": [
0.001
],
"index_4": [
0.9618158,
1.013394,
1.075841,
1.156172,
1.219598
],
"values": [
0.1492378,
0.23878048,
0.2984756,
0.23878048,
0.1492378
]
},
{
"index_1": [
1.3377685546875
],
"index_2": [
1.45837968540604
],
"index_3": [
0.001
],
"index_4": [
0.7121831,
0.7622062,
0.8428269,
0.9210896,
0.975106
],
"values": [
0.3143314,
0.50293024,
0.6286628,
0.50293024,
0.3143314
]
},
{
"index_1": [
1.11937427473841
],
"index_2": [
1.6187646062699
],
"index_3": [
0.001
],
"index_4": [
0.7615932,
0.811606,
0.8702876,
0.9327194,
1.001257
],
"values": [
0.06538845,
0.10462152,
0.1307769,
0.10462152,
0.06538845
]
},
{
"index_1": [
1.2063720703125
],
"index_2": [
1.13760929233977
],
"index_3": [
0.001
],
"index_4": [
0.5473517,
0.5806562,
0.6251849,
0.6814671,
0.7335024
],
"values": [
0.10263755,
0.16422008,
0.2052751,
0.16422008,
0.10263755
]
},
{
"index_1": [
1.3377685546875
],
"index_2": [
0.729189842703021
],
"index_3": [
0.001
],
"index_4": [
0.3601788,
0.3864314,
0.4263802,
0.4729737,
0.5117127
],
"values": [
0.16998185,
0.27197096,
0.3399637,
0.27197096,
0.16998185
]
},
{
"index_1": [
1.2063720703125
],
"index_2": [
2
],
"index_3": [
0.001
],
"index_4": [
0.9618158,
1.013394,
1.075841,
1.156172,
1.219598
],
"values": [
0.1492378,
0.23878048,
0.2984756,
0.23878048,
0.1492378
]
}
]
},
"stage_type": "both"
},
"clock": "false",
"direction": "input",
"internal_power": {
"fall_power hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.04336,
0.04325,
0.04305,
0.04289,
0.04282,
0.04273,
0.04274,
0.04306,
0.04391,
0.04941,
0.05237,
0.06129,
0.0688
]
},
"rise_power hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.02174,
0.0243,
0.02105,
0.02092,
0.02089,
0.02089,
0.02064,
0.02136,
0.02202,
0.02528,
0.02772,
0.03426,
0.04136
]
}
},
"max_transition": 1.0,
"nextstate_type": "scan_in",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"fall_constraint sethold_template_fall10x10": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.16406,
0.1582,
0.15527,
0.15527,
0.16113,
0.18164,
0.20508,
0.23145,
0.26367,
0.29883
],
[
0.16992,
0.16699,
0.16113,
0.16113,
0.16699,
0.1875,
0.21387,
0.24023,
0.27246,
0.30469
],
[
0.17871,
0.17578,
0.17285,
0.16992,
0.17578,
0.19629,
0.22266,
0.24902,
0.28125,
0.31641
],
[
0.19629,
0.19043,
0.1875,
0.1875,
0.19336,
0.21387,
0.24023,
0.2666,
0.29883,
0.33398
],
[
0.23438,
0.23145,
0.22852,
0.22559,
0.23145,
0.25195,
0.27832,
0.30469,
0.33984,
0.36914
],
[
0.31055,
0.30469,
0.29883,
0.29883,
0.30469,
0.32227,
0.35156,
0.375,
0.41016,
0.43945
],
[
0.375,
0.36914,
0.36328,
0.36328,
0.36914,
0.38672,
0.41602,
0.43945,
0.46875,
0.49805
],
[
0.43359,
0.43359,
0.42773,
0.42773,
0.43359,
0.45117,
0.47461,
0.50391,
0.5332,
0.5625
],
[
0.49219,
0.48633,
0.48633,
0.48633,
0.48633,
0.50391,
0.5332,
0.55664,
0.58594,
0.62109
],
[
0.55078,
0.54492,
0.54492,
0.53906,
0.54492,
0.5625,
0.58594,
0.62109,
0.64453,
0.67969
]
]
},
"related_pin": "CLK",
"rise_constraint sethold_template_rise10x10": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.1084,
0.10254,
0.09961,
0.09961,
0.1084,
0.13477,
0.16406,
0.19629,
0.22559,
0.25781
],
[
0.11133,
0.1084,
0.10254,
0.10254,
0.11133,
0.1377,
0.16699,
0.19922,
0.22852,
0.26074
],
[
0.11719,
0.11133,
0.1084,
0.1084,
0.11719,
0.14355,
0.17285,
0.20508,
0.23438,
0.2666
],
[
0.12891,
0.12305,
0.12012,
0.12012,
0.12891,
0.15527,
0.18457,
0.2168,
0.24609,
0.27832
],
[
0.14355,
0.14062,
0.13477,
0.13477,
0.14355,
0.16992,
0.19922,
0.22852,
0.26074,
0.29297
],
[
0.1582,
0.15234,
0.14941,
0.14941,
0.15527,
0.18164,
0.20801,
0.24023,
0.26953,
0.30469
],
[
0.16113,
0.15527,
0.15234,
0.14941,
0.1582,
0.18164,
0.20801,
0.24023,
0.26953,
0.30469
],
[
0.15527,
0.14941,
0.14648,
0.14355,
0.14941,
0.17578,
0.20215,
0.23438,
0.26367,
0.29883
],
[
0.14648,
0.14062,
0.1377,
0.1377,
0.14355,
0.16406,
0.19336,
0.22559,
0.25488,
0.28711
],
[
0.13477,
0.12891,
0.12598,
0.12305,
0.12891,
0.15234,
0.18164,
0.21094,
0.24316,
0.27539
]
]
},
"timing_type": "setup_rising"
},
{
"fall_constraint sethold_template_fall10x10": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.11134,
-0.11134,
-0.11427,
-0.11427,
-0.12011,
-0.13476,
-0.1582,
-0.17285,
-0.19337,
-0.20507
],
[
-0.11718,
-0.11425,
-0.12011,
-0.12011,
-0.12597,
-0.14648,
-0.16113,
-0.18165,
-0.1963,
-0.21679
],
[
-0.12597,
-0.12304,
-0.12597,
-0.1289,
-0.13476,
-0.15527,
-0.16992,
-0.19044,
-0.20507,
-0.22265
],
[
-0.14355,
-0.14355,
-0.14648,
-0.14648,
-0.15234,
-0.17285,
-0.18751,
-0.208,
-0.22265,
-0.24024
],
[
-0.18164,
-0.17871,
-0.18164,
-0.18457,
-0.19043,
-0.21095,
-0.22558,
-0.24609,
-0.25782,
-0.2754
],
[
-0.24609,
-0.24609,
-0.24609,
-0.25195,
-0.25781,
-0.27539,
-0.29298,
-0.3164,
-0.32812,
-0.35743
],
[
-0.30468,
-0.29882,
-0.30468,
-0.30468,
-0.32226,
-0.33984,
-0.35742,
-0.38087,
-0.39843,
-0.42773
],
[
-0.36329,
-0.35157,
-0.35743,
-0.35743,
-0.37501,
-0.39259,
-0.41601,
-0.43359,
-0.4629,
-0.48046
],
[
-0.41015,
-0.41601,
-0.41601,
-0.41601,
-0.42773,
-0.45703,
-0.47462,
-0.49804,
-0.52734,
-0.53907
],
[
-0.45704,
-0.4629,
-0.4629,
-0.46876,
-0.47462,
-0.5039,
-0.53906,
-0.55079,
-0.57423,
-0.58593
]
]
},
"related_pin": "CLK",
"rise_constraint sethold_template_rise10x10": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.06152,
-0.06152,
-0.05859,
-0.06445,
-0.0791,
-0.10547,
-0.14062,
-0.16699,
-0.20215,
-0.23437
],
[
-0.06445,
-0.06152,
-0.06738,
-0.07324,
-0.08789,
-0.11426,
-0.14355,
-0.16992,
-0.20508,
-0.2373
],
[
-0.07031,
-0.07031,
-0.07324,
-0.0791,
-0.09375,
-0.12013,
-0.14941,
-0.17578,
-0.21094,
-0.24316
],
[
-0.08203,
-0.08203,
-0.0791,
-0.08496,
-0.09961,
-0.12597,
-0.15527,
-0.1875,
-0.21681,
-0.24902
],
[
-0.09669,
-0.09376,
-0.09375,
-0.09961,
-0.11427,
-0.14062,
-0.16992,
-0.19922,
-0.23144,
-0.26367
],
[
-0.10548,
-0.09962,
-0.10255,
-0.10841,
-0.12013,
-0.14648,
-0.17871,
-0.20509,
-0.24023,
-0.26953
],
[
-0.09669,
-0.09669,
-0.09376,
-0.10255,
-0.11134,
-0.14062,
-0.17285,
-0.20509,
-0.23437,
-0.26367
],
[
-0.08497,
-0.08497,
-0.0879,
-0.09083,
-0.10841,
-0.13476,
-0.16699,
-0.19336,
-0.22851,
-0.25781
],
[
-0.07032,
-0.07032,
-0.06738,
-0.07324,
-0.08497,
-0.12304,
-0.15234,
-0.17871,
-0.21388,
-0.24609
],
[
-0.05273,
-0.04687,
-0.0498,
-0.05859,
-0.07031,
-0.10548,
-0.13476,
-0.16992,
-0.1963,
-0.22851
]
]
},
"timing_type": "hold_rising"
}
]
},
"pin SCE": {
"capacitance": 0.00454,
"ccsn_first_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"index_2": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"values": [
[
1.92577,
0.388754,
0.332427,
0.313776,
0.293299,
0.282349,
0.270934,
0.259064,
0.246753,
0.234015,
0.220856,
0.207297,
0.193351,
0.179022,
0.164331,
0.149291,
0.13391,
0.1182,
0.102176,
0.0858482,
0.0692251,
0.0523178,
0.0351388,
0.0176961,
-2.18382e-09,
-0.0345268,
-0.0673073,
-0.177409,
-2.05547
],
[
1.90677,
0.361557,
0.310357,
0.295946,
0.281282,
0.273758,
0.266011,
0.257925,
0.24933,
0.240033,
0.229886,
0.218824,
0.206838,
0.193943,
0.180163,
0.165526,
0.15006,
0.133796,
0.116764,
0.0989897,
0.0805031,
0.061332,
0.0415073,
0.0210536,
-3.65178e-09,
-0.0412969,
-0.0802716,
-0.197386,
-2.05779
],
[
1.86552,
0.302829,
0.25444,
0.243184,
0.231846,
0.226129,
0.220364,
0.214534,
0.208609,
0.202546,
0.196273,
0.189671,
0.18253,
0.174535,
0.165356,
0.154807,
0.142844,
0.129482,
0.114751,
0.0986899,
0.0813425,
0.0627531,
0.042968,
0.0220344,
-2.11108e-09,
-0.0440575,
-0.0860999,
-0.201797,
-2.05807
],
[
1.84883,
0.285562,
0.226175,
0.216282,
0.206305,
0.201278,
0.196216,
0.191111,
0.185948,
0.180706,
0.17535,
0.169826,
0.164038,
0.157814,
0.150846,
0.142716,
0.133096,
0.121866,
0.109016,
0.0945716,
0.0785735,
0.0610669,
0.042101,
0.0217275,
-2.10996e-09,
-0.04405,
-0.0865402,
-0.199255,
-2.05764
],
[
1.83075,
0.28851,
0.19358,
0.185122,
0.17658,
0.172275,
0.167943,
0.16358,
0.159179,
0.15473,
0.150216,
0.145614,
0.140883,
0.135952,
0.130689,
0.124835,
0.117939,
0.109505,
0.0992761,
0.0871932,
0.0732698,
0.057541,
0.0400519,
0.0208531,
-2.22181e-09,
-0.0431699,
-0.0855449,
-0.193359,
-2.05684
],
[
1.82094,
0.292678,
0.176058,
0.168287,
0.160466,
0.156523,
0.152556,
0.148563,
0.144539,
0.140476,
0.136366,
0.13219,
0.127924,
0.123522,
0.118905,
0.113914,
0.108227,
0.101302,
0.0926406,
0.0820371,
0.0694581,
0.054923,
0.0384695,
0.0201443,
-3.22349e-09,
-0.0422998,
-0.0843298,
-0.188996,
-2.0563
],
[
1.81081,
0.295967,
0.158422,
0.150897,
0.143781,
0.140194,
0.136587,
0.132957,
0.129302,
0.125617,
0.121896,
0.118128,
0.114297,
0.110374,
0.106311,
0.102018,
0.097302,
0.0917544,
0.0847438,
0.0758019,
0.0647774,
0.0516546,
0.0364569,
0.0192228,
-2.83931e-08,
-0.0410834,
-0.0825302,
-0.183728,
-2.05568
],
[
1.80059,
0.29814,
0.144124,
0.133228,
0.126783,
0.123541,
0.120282,
0.117004,
0.113707,
0.110387,
0.107041,
0.103662,
0.100239,
0.0967556,
0.093183,
0.0894693,
0.0855095,
0.0810654,
0.0756148,
0.068455,
0.0591814,
0.0476903,
0.0339775,
0.0180678,
-6.03442e-07,
-0.0394776,
-0.0800645,
-0.177637,
-2.05498
],
[
1.79051,
0.29962,
0.137569,
0.115764,
0.109769,
0.106856,
0.103929,
0.100989,
0.0980342,
0.0950636,
0.0920747,
0.0890637,
0.0860245,
0.082947,
0.0798146,
0.0765982,
0.073242,
0.069624,
0.0654397,
0.0600265,
0.0526526,
0.0429992,
0.0309989,
0.0166535,
-1.31332e-05,
-0.0374573,
-0.076873,
-0.17086,
-2.05431
],
[
1.78077,
0.300976,
0.135319,
0.100818,
0.0930584,
0.09045,
0.0878352,
0.0852111,
0.0825773,
0.0799333,
0.0772779,
0.0746091,
0.0719235,
0.0692153,
0.0664754,
0.0636878,
0.0608236,
0.057823,
0.0545413,
0.0505784,
0.045114,
0.0374507,
0.0273594,
0.0147967,
-0.000234946,
-0.0352403,
-0.0732037,
-0.164225,
-2.05479
],
[
1.77157,
0.302716,
0.134644,
0.0927836,
0.0769146,
0.0744736,
0.0721006,
0.0697242,
0.0673399,
0.0649461,
0.0625415,
0.0601247,
0.0576934,
0.0552442,
0.0527719,
0.0502676,
0.0477166,
0.0450901,
0.0423246,
0.0392453,
0.0353138,
0.029568,
0.0214121,
0.0106926,
-0.00262251,
-0.0350955,
-0.0716821,
-0.162381,
-2.06131
],
[
1.76307,
0.305244,
0.135148,
0.0889253,
0.0612985,
0.0574514,
0.0548037,
0.0522873,
0.0497953,
0.0473039,
0.0448046,
0.0422933,
0.0397676,
0.0372255,
0.0346645,
0.0320811,
0.0294689,
0.0268161,
0.0240974,
0.0212494,
0.01806,
0.0138134,
0.00747037,
-0.00142759,
-0.0129848,
-0.0428197,
-0.0780152,
-0.170297,
-2.07548
],
[
1.75541,
0.308863,
0.136884,
0.086292,
0.0466963,
0.0371451,
0.0322645,
0.0288468,
0.0258039,
0.0229107,
0.0200948,
0.0173254,
0.0145871,
0.0118709,
0.00917129,
0.00648402,
0.0038049,
0.00112824,
-0.00155594,
-0.0042692,
-0.0070737,
-0.0102329,
-0.0146736,
-0.0214266,
-0.0308175,
-0.0570935,
-0.0900426,
-0.182831,
-2.09167
],
[
1.7487,
0.313783,
0.139878,
0.0844503,
0.0340925,
0.0175866,
0.00804253,
0.00283468,
-0.00102441,
-0.00438507,
-0.00749602,
-0.0104566,
-0.013315,
-0.0160977,
-0.0188205,
-0.0214935,
-0.0241237,
-0.0267167,
-0.0292782,
-0.0318162,
-0.0343479,
-0.0369324,
-0.0399077,
-0.044429,
-0.051409,
-0.0734195,
-0.103435,
-0.19602,
-2.10742
],
[
1.743,
0.320131,
0.14408,
0.0834458,
0.0236636,
0.000917902,
-0.0142072,
-0.0222506,
-0.0272745,
-0.0312315,
-0.034687,
-0.0378526,
-0.0408268,
-0.0436623,
-0.0463898,
-0.0490285,
-0.0515907,
-0.054085,
-0.0565174,
-0.0588931,
-0.0612178,
-0.0635042,
-0.065807,
-0.0685837,
-0.0731828,
-0.0905289,
-0.117145,
-0.209152,
-2.12243
],
[
1.73834,
0.327954,
0.149371,
0.0833186,
0.0153337,
-0.0128261,
-0.0334433,
-0.0453092,
-0.051944,
-0.0566723,
-0.0605539,
-0.0639666,
-0.0670787,
-0.0699778,
-0.0727141,
-0.0753187,
-0.0778116,
-0.0802061,
-0.0825117,
-0.0847351,
-0.0868817,
-0.0889574,
-0.0909728,
-0.0929875,
-0.0956967,
-0.108282,
-0.131218,
-0.222184,
-2.13667
],
[
1.73471,
0.337227,
0.155557,
0.0840746,
0.00899997,
-0.0238253,
-0.0494522,
-0.065818,
-0.0746101,
-0.0803223,
-0.0847249,
-0.0884316,
-0.0917058,
-0.0946806,
-0.0974316,
-0.100005,
-0.102433,
-0.104735,
-0.106927,
-0.109023,
-0.111032,
-0.112966,
-0.114834,
-0.116652,
-0.118553,
-0.126871,
-0.146009,
-0.235167,
-2.15012
],
[
1.73208,
0.347865,
0.162399,
0.0856951,
0.0045407,
-0.0322686,
-0.0623089,
-0.0834084,
-0.0949873,
-0.101951,
-0.106994,
-0.111059,
-0.114537,
-0.117622,
-0.120424,
-0.12301,
-0.125425,
-0.127703,
-0.129867,
-0.131939,
-0.133932,
-0.13586,
-0.137736,
-0.139571,
-0.141393,
-0.146844,
-0.161955,
-0.2482,
-2.16279
],
[
1.7304,
0.359738,
0.169644,
0.0881394,
0.00180355,
-0.0383818,
-0.0722494,
-0.097921,
-0.112943,
-0.121528,
-0.127402,
-0.131955,
-0.13575,
-0.139058,
-0.142029,
-0.144754,
-0.147292,
-0.149685,
-0.151962,
-0.154144,
-0.156249,
-0.15829,
-0.160276,
-0.162221,
-0.164139,
-0.168437,
-0.17939,
-0.261415,
-2.17471
],
[
1.72959,
0.372691,
0.177046,
0.0912869,
0.00051098,
-0.0425504,
-0.0797492,
-0.109619,
-0.128681,
-0.13941,
-0.146415,
-0.15167,
-0.155958,
-0.159644,
-0.162923,
-0.16591,
-0.168678,
-0.171278,
-0.173743,
-0.1761,
-0.178366,
-0.180557,
-0.182685,
-0.184762,
-0.186803,
-0.190959,
-0.198509,
-0.274964,
-2.18591
],
[
1.72958,
0.386515,
0.184265,
0.094775,
0.000109541,
-0.045444,
-0.0855751,
-0.119193,
-0.142656,
-0.156131,
-0.164601,
-0.170757,
-0.175672,
-0.179833,
-0.183493,
-0.186797,
-0.189838,
-0.192676,
-0.195354,
-0.197903,
-0.200344,
-0.202697,
-0.204975,
-0.207191,
-0.20936,
-0.213672,
-0.219297,
-0.288989,
-2.19647
],
[
1.73027,
0.400831,
0.190811,
0.0980817,
2.33498e-05,
-0.0476728,
-0.0903535,
-0.12722,
-0.155064,
-0.171872,
-0.182148,
-0.18938,
-0.195023,
-0.199722,
-0.203805,
-0.207457,
-0.210793,
-0.213887,
-0.216791,
-0.219542,
-0.222168,
-0.224689,
-0.227122,
-0.229481,
-0.231783,
-0.23631,
-0.241306,
-0.303614,
-2.20644
],
[
1.7315,
0.415053,
0.196395,
0.100944,
5.55213e-06,
-0.0494971,
-0.094358,
-0.133988,
-0.165872,
-0.186521,
-0.199,
-0.207506,
-0.213985,
-0.219289,
-0.223839,
-0.227869,
-0.231521,
-0.234888,
-0.238031,
-0.240994,
-0.243812,
-0.246508,
-0.249101,
-0.251609,
-0.254047,
-0.258807,
-0.263777,
-0.318928,
-2.21588
],
[
1.733,
0.428738,
0.201034,
0.103342,
1.39558e-06,
-0.0510065,
-0.0977148,
-0.13968,
-0.175073,
-0.19988,
-0.215029,
-0.225059,
-0.232506,
-0.238492,
-0.243558,
-0.248001,
-0.251995,
-0.255653,
-0.259049,
-0.262237,
-0.265256,
-0.268134,
-0.270895,
-0.273557,
-0.276137,
-0.281141,
-0.286243,
-0.334982,
-2.22485
],
[
1.73456,
0.441698,
0.204844,
0.105321,
3.52833e-07,
-0.0522503,
-0.100513,
-0.144446,
-0.182772,
-0.211751,
-0.230079,
-0.241942,
-0.250522,
-0.257284,
-0.262927,
-0.267823,
-0.272188,
-0.276159,
-0.279825,
-0.283251,
-0.286482,
-0.289553,
-0.292489,
-0.295311,
-0.298039,
-0.303298,
-0.308571,
-0.351796,
-2.23341
],
[
1.73757,
0.46499,
0.210426,
0.108222,
2.60258e-08,
-0.0540856,
-0.104725,
-0.151696,
-0.194389,
-0.230576,
-0.256499,
-0.273229,
-0.28474,
-0.293432,
-0.30046,
-0.306416,
-0.311632,
-0.316309,
-0.320578,
-0.324529,
-0.328224,
-0.331711,
-0.335025,
-0.338192,
-0.341236,
-0.347044,
-0.352713,
-0.387613,
-2.24947
],
[
1.74036,
0.483963,
0.213895,
0.110004,
2.07316e-08,
-0.0552412,
-0.107482,
-0.156564,
-0.202173,
-0.243271,
-0.276824,
-0.300218,
-0.315918,
-0.327256,
-0.336098,
-0.34339,
-0.349647,
-0.355168,
-0.360144,
-0.364701,
-0.368927,
-0.372884,
-0.37662,
-0.380171,
-0.383565,
-0.389983,
-0.396114,
-0.425936,
-2.26443
],
[
1.74673,
0.508751,
0.216394,
0.111125,
7.5479e-08,
-0.0561807,
-0.110288,
-0.162245,
-0.211965,
-0.25931,
-0.303972,
-0.345045,
-0.380207,
-0.407032,
-0.426431,
-0.440939,
-0.452401,
-0.461887,
-0.470016,
-0.477168,
-0.483587,
-0.489437,
-0.494836,
-0.499868,
-0.504596,
-0.513325,
-0.521318,
-0.546736,
-2.30565
],
[
1.74762,
0.497243,
0.208842,
0.106824,
3.05402e-07,
-0.0541144,
-0.106957,
-0.158495,
-0.208695,
-0.257526,
-0.30495,
-0.350925,
-0.39539,
-0.438235,
-0.479214,
-0.517701,
-0.552322,
-0.581385,
-0.604584,
-0.623078,
-0.638209,
-0.650964,
-0.662,
-0.671751,
-0.680515,
-0.695856,
-0.709105,
-0.74168,
-2.37501
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000765,
"miller_cap_rise": 0.00064,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.01530415,
0.02082392,
0.02679503,
0.03356277,
0.04342912
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.06019429,
0.07297296,
0.08288598,
0.09187893,
0.1027748
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.01994248,
0.0300483,
0.04178968,
0.0558069,
0.08010892
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.07482326,
0.09245681,
0.1055811,
0.1195908,
0.1437687
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.9150146484375
],
"index_2": [
0.599029971978831
],
"index_3": [
0.001
],
"index_4": [
0.2915616,
0.3121556,
0.3454769,
0.3848015,
0.4122273
],
"values": [
1.61373005,
1.41196808,
1.2774601,
1.41196808,
1.61373005
]
},
{
"index_1": [
1.1092529296875
],
"index_2": [
0.2652565546715
],
"index_3": [
0.001
],
"index_4": [
0.131973,
0.1462414,
0.1716023,
0.201375,
0.2237171
],
"values": [
1.46491515,
1.17386424,
0.9798303,
1.17386424,
1.46491515
]
},
{
"index_1": [
1.2996826171875
],
"index_2": [
0.158581239118491
],
"index_3": [
0.001
],
"index_4": [
0.08113589,
0.09237985,
0.1121323,
0.1360587,
0.1544144
],
"values": [
1.4021185,
1.0733896,
0.854237,
1.0733896,
1.4021185
]
},
{
"index_1": [
0.9150146484375
],
"index_2": [
0.299514985989416
],
"index_3": [
0.001
],
"index_4": [
0.1499005,
0.1607095,
0.177789,
0.2026476,
0.222761
],
"values": [
1.7519813,
1.63317008,
1.5539626,
1.63317008,
1.7519813
]
},
{
"index_1": [
1.1092529296875
],
"index_2": [
0.13262827733575
],
"index_3": [
0.001
],
"index_4": [
0.06964959,
0.07722594,
0.09129455,
0.1078182,
0.1252691
],
"values": [
1.6906276,
1.53500416,
1.4312552,
1.53500416,
1.6906276
]
},
{
"index_1": [
1.2996826171875
],
"index_2": [
0.0792906195592453
],
"index_3": [
0.001
],
"index_4": [
0.04389818,
0.0497669,
0.05912023,
0.07494134,
0.08733399
],
"values": [
1.66775845,
1.49841352,
1.3855169,
1.49841352,
1.66775845
]
},
{
"index_1": [
1.1092529296875
],
"index_2": [
0.39788483200725
],
"index_3": [
0.001
],
"index_4": [
0.1928748,
0.2137644,
0.2499533,
0.2909609,
0.321226
],
"values": [
1.2985,
0.9076,
0.647,
0.9076,
1.2985
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.0825927734375
],
"index_2": [
0.893811533836437
],
"index_3": [
0.001
],
"index_4": [
0.4219975,
0.4481573,
0.4706694,
0.498067,
0.5249859
],
"values": [
0.09543765,
0.15270024,
0.1908753,
0.15270024,
0.09543765
]
},
{
"index_1": [
1.2711181640625
],
"index_2": [
0.4706207025348
],
"index_3": [
0.001
],
"index_4": [
0.2302694,
0.2458477,
0.2673587,
0.2973892,
0.3147588
],
"values": [
0.29241635,
0.46786616,
0.5848327,
0.46786616,
0.29241635
]
},
{
"index_1": [
1.4329833984375
],
"index_2": [
0.30571424487429
],
"index_3": [
0.001
],
"index_4": [
0.151425,
0.1662069,
0.1909375,
0.2130764,
0.2285594
],
"values": [
0.41816255,
0.66906008,
0.8363251,
0.66906008,
0.41816255
]
},
{
"index_1": [
1.0825927734375
],
"index_2": [
0.446905766918218
],
"index_3": [
0.001
],
"index_4": [
0.2136732,
0.2272627,
0.2429181,
0.2612427,
0.2794547
],
"values": [
0.0752658,
0.12042528,
0.1505316,
0.12042528,
0.0752658
]
},
{
"index_1": [
1.2711181640625
],
"index_2": [
0.2353103512674
],
"index_3": [
0.001
],
"index_4": [
0.1180665,
0.1261484,
0.1416962,
0.1551681,
0.1663712
],
"values": [
0.17555005,
0.28088008,
0.3511001,
0.28088008,
0.17555005
]
},
{
"index_1": [
1.4329833984375
],
"index_2": [
0.152857122437145
],
"index_3": [
0.001
],
"index_4": [
0.07882431,
0.08634616,
0.09729883,
0.111571,
0.1209462
],
"values": [
0.2369038,
0.37904608,
0.4738076,
0.37904608,
0.2369038
]
},
{
"index_1": [
1.2711181640625
],
"index_2": [
0.7059310538022
],
"index_3": [
0.001
],
"index_4": [
0.3428772,
0.3648766,
0.4003528,
0.4362636,
0.4601877
],
"values": [
0.3806254,
0.60900064,
0.7612508,
0.60900064,
0.3806254
]
}
]
},
"stage_type": "both"
},
"clock": "false",
"direction": "input",
"internal_power": {
"fall_power hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.04248,
0.04208,
0.04324,
0.04214,
0.04242,
0.04183,
0.04241,
0.04349,
0.04528,
0.0523,
0.05751,
0.07072,
0.08441
]
},
"rise_power hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.02258,
0.02797,
0.02562,
0.02703,
0.02681,
0.02652,
0.0273,
0.02784,
0.02951,
0.03506,
0.04028,
0.05233,
0.06639
]
}
},
"max_transition": 1.0,
"nextstate_type": "scan_enable",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"fall_constraint sethold_template_fall10x10": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.14062,
0.13477,
0.13184,
0.13184,
0.1377,
0.16406,
0.19629,
0.22559,
0.25781,
0.29004
],
[
0.14648,
0.14355,
0.1377,
0.1377,
0.14648,
0.17285,
0.20215,
0.23438,
0.26367,
0.29883
],
[
0.15527,
0.15234,
0.14648,
0.14648,
0.1582,
0.18457,
0.21387,
0.24609,
0.27539,
0.31055
],
[
0.17578,
0.17285,
0.16699,
0.16699,
0.17578,
0.20508,
0.23438,
0.2666,
0.29883,
0.32812
],
[
0.21094,
0.20801,
0.20508,
0.20508,
0.20801,
0.23438,
0.26367,
0.29883,
0.32812,
0.35742
],
[
0.28125,
0.27832,
0.27539,
0.27246,
0.27832,
0.29883,
0.32227,
0.35156,
0.38086,
0.41016
],
[
0.3457,
0.33984,
0.33984,
0.33984,
0.33984,
0.36328,
0.38672,
0.41016,
0.43945,
0.46875
],
[
0.4043,
0.39844,
0.39844,
0.39258,
0.39844,
0.41602,
0.44531,
0.46875,
0.49805,
0.52734
],
[
0.46289,
0.45703,
0.45117,
0.45117,
0.45703,
0.47461,
0.49805,
0.52734,
0.55664,
0.58594
],
[
0.51562,
0.50977,
0.50977,
0.50391,
0.50977,
0.52734,
0.55078,
0.58008,
0.60938,
0.64453
]
]
},
"related_pin": "CLK",
"rise_constraint sethold_template_rise10x10": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.17285,
0.16699,
0.16406,
0.16406,
0.16992,
0.19043,
0.21387,
0.24023,
0.27246,
0.30469
],
[
0.17871,
0.17285,
0.16992,
0.16992,
0.17578,
0.19336,
0.21973,
0.24609,
0.27832,
0.31055
],
[
0.18164,
0.17871,
0.17578,
0.17285,
0.17871,
0.19922,
0.22559,
0.25195,
0.28125,
0.31641
],
[
0.18457,
0.18164,
0.17871,
0.17871,
0.18164,
0.20215,
0.22852,
0.25488,
0.28711,
0.32227
],
[
0.18457,
0.18164,
0.17871,
0.17871,
0.18164,
0.20215,
0.22852,
0.25195,
0.28418,
0.31641
],
[
0.17285,
0.16992,
0.16699,
0.16699,
0.16992,
0.19043,
0.2168,
0.24316,
0.27246,
0.31055
],
[
0.1582,
0.15234,
0.14941,
0.14941,
0.15527,
0.17578,
0.19922,
0.22852,
0.25781,
0.29004
],
[
0.14648,
0.14355,
0.1377,
0.1377,
0.14062,
0.16699,
0.19336,
0.22266,
0.25195,
0.28418
],
[
0.14062,
0.13477,
0.13184,
0.12891,
0.13477,
0.15527,
0.18457,
0.21387,
0.24316,
0.27539
],
[
0.12598,
0.12598,
0.12012,
0.11426,
0.12305,
0.14355,
0.17285,
0.20215,
0.23145,
0.2666
]
]
},
"timing_type": "setup_rising"
},
{
"fall_constraint sethold_template_fall10x10": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.0879,
-0.08203,
-0.08496,
-0.09082,
-0.10254,
-0.10548,
-0.11425,
-0.12597,
-0.14063,
-0.16114
],
[
-0.09376,
-0.09083,
-0.09668,
-0.10254,
-0.10548,
-0.10839,
-0.12011,
-0.1289,
-0.14649,
-0.16407
],
[
-0.10841,
-0.10548,
-0.11134,
-0.11134,
-0.11134,
-0.11425,
-0.12597,
-0.13477,
-0.15235,
-0.16991
],
[
-0.1289,
-0.12597,
-0.12597,
-0.12597,
-0.1289,
-0.1289,
-0.14062,
-0.15528,
-0.16993,
-0.1875
],
[
-0.15234,
-0.14941,
-0.15234,
-0.1582,
-0.17285,
-0.18164,
-0.18751,
-0.19921,
-0.2168,
-0.24024
],
[
-0.18751,
-0.18458,
-0.18165,
-0.1963,
-0.208,
-0.24609,
-0.26367,
-0.28126,
-0.29882,
-0.32812
],
[
-0.2168,
-0.2168,
-0.21094,
-0.22266,
-0.24024,
-0.26954,
-0.30468,
-0.35156,
-0.36915,
-0.38671
],
[
-0.24024,
-0.2461,
-0.2461,
-0.25196,
-0.26952,
-0.31054,
-0.33985,
-0.37501,
-0.40429,
-0.44532
],
[
-0.27539,
-0.26953,
-0.27539,
-0.27539,
-0.29297,
-0.33399,
-0.36913,
-0.39844,
-0.43946,
-0.46874
],
[
-0.3047,
-0.29883,
-0.29883,
-0.31641,
-0.32227,
-0.36328,
-0.39844,
-0.42774,
-0.46874,
-0.49219
]
]
},
"related_pin": "CLK",
"rise_constraint sethold_template_rise10x10": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.03223,
0.03223,
0.0293,
0.02344,
0.00586,
-0.02637,
-0.06153,
-0.09961,
-0.12598,
-0.15821
],
[
0.02637,
0.02637,
0.0293,
0.02344,
0.00586,
-0.03516,
-0.06737,
-0.09961,
-0.13184,
-0.16405
],
[
0.01758,
0.02051,
0.01758,
0.00879,
-0.00879,
-0.04102,
-0.07323,
-0.10547,
-0.14063,
-0.16991
],
[
-0.00879,
-0.00586,
-0.00293,
-0.00879,
-0.0293,
-0.06153,
-0.09374,
-0.12598,
-0.15821,
-0.18749
],
[
-0.03809,
-0.03516,
-0.03809,
-0.03809,
-0.0586,
-0.09081,
-0.11718,
-0.15821,
-0.18458,
-0.21679
],
[
-0.07325,
-0.07032,
-0.06739,
-0.06739,
-0.0879,
-0.11425,
-0.14648,
-0.17872,
-0.1963,
-0.21093
],
[
-0.08204,
-0.08204,
-0.07911,
-0.08497,
-0.09669,
-0.1289,
-0.14648,
-0.16406,
-0.18165,
-0.19628
],
[
-0.07618,
-0.07325,
-0.07324,
-0.0791,
-0.09376,
-0.09669,
-0.11132,
-0.1289,
-0.14649,
-0.167
],
[
-0.04688,
-0.04687,
-0.04394,
-0.05273,
-0.05273,
-0.06739,
-0.07911,
-0.09667,
-0.11426,
-0.12891
],
[
-0.01464,
-0.00878,
-0.01464,
-0.0205,
-0.02343,
-0.03223,
-0.04395,
-0.06153,
-0.07909,
-0.09668
]
]
},
"timing_type": "hold_rising"
}
]
},
"test_cell": {
"ff IQ, IQ_N": {
"clear": "!RESET_B",
"clocked_on": "CLK",
"next_state": "D"
},
"pin CLK": {
"direction": "input"
},
"pin D": {
"direction": "input"
},
"pin Q": {
"direction": "output",
"function": "IQ",
"signal_type": "test_scan_out"
},
"pin Q_N": {
"direction": "output",
"function": "IQ_N",
"signal_type": "test_scan_out_inverted"
},
"pin RESET_B": {
"direction": "input"
},
"pin SCD": {
"direction": "input",
"signal_type": "test_scan_in"
},
"pin SCE": {
"direction": "input",
"signal_type": "test_scan_enable"
}
}
}