blob: e460f209f071ccde24b71c8d7c9336d2c6d11073 [file] [log] [blame]
{
"area": 4.7952,
"cell_footprint": "nor2",
"cell_leakage_power": 129.68584,
"leakage_power": [
{
"value": 1.18908,
"when": "!A&!B"
},
{
"value": 313.71588,
"when": "!A&B"
},
{
"value": 181.1214,
"when": "A&!B"
},
{
"value": 22.71702,
"when": "A&B"
}
],
"pg_pin VGND": {
"pg_type": "primary_ground",
"voltage_name": "VGND"
},
"pg_pin VNB": {
"pg_type": "primary_ground",
"voltage_name": "VNB"
},
"pg_pin VPB": {
"pg_type": "primary_power",
"voltage_name": "VPB"
},
"pg_pin VPWR": {
"pg_type": "primary_power",
"voltage_name": "VPWR"
},
"pin A": {
"capacitance": 0.0027,
"clock": "false",
"direction": "input",
"internal_power": {
"fall_power hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.00373,
0.00524,
0.0046,
0.00472,
0.00459,
0.00458,
0.00456,
0.0046,
0.00457,
0.00497,
0.00496,
0.00535,
0.00535
]
},
"rise_power hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.00224,
0.00303,
0.00281,
0.00272,
0.00283,
0.00283,
0.00287,
0.0029,
0.00293,
0.0032,
0.00321,
0.00346,
0.00344
]
}
},
"max_transition": 1.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR"
},
"pin B": {
"capacitance": 0.00262,
"clock": "false",
"direction": "input",
"internal_power": {
"fall_power hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.00224,
0.00303,
0.00281,
0.00272,
0.00283,
0.00283,
0.00287,
0.0029,
0.00293,
0.0032,
0.00321,
0.00346,
0.00344
]
},
"rise_power hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.00373,
0.00524,
0.0046,
0.00472,
0.00459,
0.00458,
0.00456,
0.0046,
0.00457,
0.00497,
0.00496,
0.00535,
0.00535
]
}
},
"max_transition": 1.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR"
},
"pin Y": {
"direction": "output",
"function": "(!A&!B)",
"internal_power": [
{
"fall_power pwr_template13x12": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00948,
0.01138,
0.01366,
0.01639,
0.01967,
0.0236,
0.02832,
0.03399,
0.04079,
0.04895,
0.05874
],
"values": [
[
0.00312,
-0.00894,
-0.01137,
-0.01429,
-0.01778,
-0.02198,
-0.02701,
-0.03306,
-0.04032,
-0.04905,
-0.05951,
-0.07204
],
[
0.00324,
-0.00889,
-0.01132,
-0.01423,
-0.01773,
-0.02195,
-0.02695,
-0.033,
-0.04029,
-0.04899,
-0.05941,
-0.07194
],
[
0.0033,
-0.00885,
-0.0113,
-0.0142,
-0.01772,
-0.0219,
-0.02695,
-0.03297,
-0.04024,
-0.04896,
-0.05938,
-0.07193
],
[
0.00337,
-0.00882,
-0.01125,
-0.01417,
-0.01766,
-0.02186,
-0.02691,
-0.03294,
-0.0402,
-0.0489,
-0.05935,
-0.07187
],
[
0.00345,
-0.00879,
-0.01121,
-0.01413,
-0.01763,
-0.02184,
-0.02686,
-0.0329,
-0.04015,
-0.04888,
-0.05933,
-0.07186
],
[
0.00355,
-0.00874,
-0.01118,
-0.0141,
-0.0176,
-0.02181,
-0.02684,
-0.03288,
-0.04014,
-0.04885,
-0.05929,
-0.07182
],
[
0.00365,
-0.00868,
-0.01113,
-0.01406,
-0.01756,
-0.02177,
-0.02681,
-0.03285,
-0.04011,
-0.04882,
-0.05927,
-0.0718
],
[
0.00376,
-0.00861,
-0.01106,
-0.014,
-0.01751,
-0.02172,
-0.02676,
-0.03282,
-0.04008,
-0.04879,
-0.05924,
-0.07177
],
[
0.00387,
-0.00853,
-0.01099,
-0.01393,
-0.01744,
-0.02166,
-0.02671,
-0.03276,
-0.04003,
-0.04875,
-0.05922,
-0.07177
],
[
0.00396,
-0.00844,
-0.01091,
-0.01385,
-0.01737,
-0.0216,
-0.02665,
-0.03272,
-0.04,
-0.04872,
-0.05918,
-0.07173
],
[
0.00403,
-0.00836,
-0.01082,
-0.01377,
-0.0173,
-0.02153,
-0.02659,
-0.03266,
-0.03994,
-0.04867,
-0.05914,
-0.07168
],
[
0.00407,
-0.00826,
-0.01072,
-0.01367,
-0.01718,
-0.02142,
-0.02648,
-0.03256,
-0.03985,
-0.04859,
-0.05906,
-0.07162
],
[
0.00409,
-0.0082,
-0.01066,
-0.01357,
-0.0171,
-0.02133,
-0.0264,
-0.03248,
-0.03977,
-0.04851,
-0.05899,
-0.07156
]
]
},
"related_pin": "A",
"rise_power pwr_template13x12": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00948,
0.01138,
0.01366,
0.01639,
0.01967,
0.0236,
0.02832,
0.03399,
0.04079,
0.04895,
0.05874
],
"values": [
[
0.01294,
0.02519,
0.02761,
0.0305,
0.03397,
0.03816,
0.04313,
0.04915,
0.05639,
0.06488,
0.07528,
0.08768
],
[
0.01283,
0.02514,
0.02756,
0.03046,
0.03394,
0.03813,
0.04315,
0.04914,
0.05632,
0.06487,
0.07526,
0.08769
],
[
0.01278,
0.02512,
0.02752,
0.03044,
0.03387,
0.03811,
0.04311,
0.04901,
0.05631,
0.06489,
0.07511,
0.08769
],
[
0.01273,
0.02503,
0.02746,
0.03037,
0.03387,
0.03807,
0.04304,
0.04904,
0.05626,
0.0649,
0.07509,
0.08769
],
[
0.01269,
0.02497,
0.02738,
0.03035,
0.03381,
0.03799,
0.04302,
0.04904,
0.05624,
0.06479,
0.07509,
0.08765
],
[
0.01265,
0.02491,
0.02734,
0.03024,
0.03379,
0.03795,
0.04294,
0.04895,
0.05617,
0.06484,
0.07509,
0.08753
],
[
0.01262,
0.02484,
0.02731,
0.03019,
0.03368,
0.03788,
0.04289,
0.04894,
0.05609,
0.06467,
0.07529,
0.0876
],
[
0.01261,
0.02477,
0.02719,
0.03013,
0.03364,
0.0378,
0.04278,
0.0488,
0.05619,
0.0647,
0.07511,
0.08747
],
[
0.01259,
0.02473,
0.02719,
0.03008,
0.03353,
0.03775,
0.04275,
0.04871,
0.05599,
0.0646,
0.07505,
0.08734
],
[
0.0126,
0.02471,
0.02711,
0.03003,
0.03348,
0.03771,
0.04273,
0.04865,
0.05588,
0.06453,
0.07493,
0.08737
],
[
0.01257,
0.02466,
0.0271,
0.02998,
0.03347,
0.03762,
0.04265,
0.0486,
0.05586,
0.06445,
0.07476,
0.08728
],
[
0.01255,
0.02466,
0.02706,
0.02994,
0.03345,
0.03756,
0.04259,
0.0486,
0.05575,
0.06447,
0.0747,
0.0872
],
[
0.01252,
0.02469,
0.0271,
0.02996,
0.03345,
0.03754,
0.04256,
0.04855,
0.0558,
0.06433,
0.07476,
0.08719
]
]
},
"when": "(!B)"
},
{
"fall_power pwr_template13x12": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00948,
0.01138,
0.01366,
0.01639,
0.01967,
0.0236,
0.02832,
0.03399,
0.04079,
0.04895,
0.05874
],
"values": [
[
0.00151,
-0.01086,
-0.01329,
-0.01622,
-0.01972,
-0.02392,
-0.02895,
-0.035,
-0.04226,
-0.051,
-0.06146,
-0.07399
],
[
0.00159,
-0.01086,
-0.01332,
-0.01622,
-0.01972,
-0.02393,
-0.02899,
-0.03501,
-0.04231,
-0.05101,
-0.06143,
-0.07396
],
[
0.00168,
-0.01085,
-0.01329,
-0.01622,
-0.01973,
-0.02396,
-0.02897,
-0.03505,
-0.04228,
-0.05101,
-0.06144,
-0.07399
],
[
0.00178,
-0.01083,
-0.0133,
-0.01621,
-0.01972,
-0.02393,
-0.02897,
-0.03503,
-0.0423,
-0.05101,
-0.06146,
-0.074
],
[
0.0019,
-0.0108,
-0.01326,
-0.0162,
-0.01971,
-0.02392,
-0.02896,
-0.03502,
-0.04228,
-0.051,
-0.06145,
-0.07399
],
[
0.00202,
-0.01074,
-0.01321,
-0.01616,
-0.01968,
-0.02389,
-0.02895,
-0.03501,
-0.04228,
-0.051,
-0.06145,
-0.07399
],
[
0.00214,
-0.01066,
-0.01313,
-0.0161,
-0.01962,
-0.02385,
-0.02891,
-0.03497,
-0.04225,
-0.05097,
-0.06143,
-0.07397
],
[
0.00225,
-0.01055,
-0.01304,
-0.01601,
-0.01954,
-0.02378,
-0.02885,
-0.03492,
-0.0422,
-0.05094,
-0.0614,
-0.07395
],
[
0.00234,
-0.01042,
-0.01291,
-0.01589,
-0.01945,
-0.02369,
-0.02876,
-0.03484,
-0.04214,
-0.05088,
-0.06135,
-0.07391
],
[
0.00241,
-0.01027,
-0.01276,
-0.01575,
-0.01931,
-0.02357,
-0.02866,
-0.03476,
-0.04207,
-0.0508,
-0.06128,
-0.07385
],
[
0.00245,
-0.01012,
-0.01261,
-0.01559,
-0.01916,
-0.02343,
-0.02852,
-0.03463,
-0.04195,
-0.05071,
-0.06121,
-0.07378
],
[
0.00247,
-0.00999,
-0.01248,
-0.01546,
-0.01902,
-0.02329,
-0.02838,
-0.03447,
-0.0418,
-0.05057,
-0.06107,
-0.07366
],
[
0.00246,
-0.00994,
-0.01235,
-0.01531,
-0.01886,
-0.02312,
-0.02821,
-0.03432,
-0.04165,
-0.05042,
-0.06094,
-0.07354
]
]
},
"related_pin": "B",
"rise_power pwr_template13x12": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00948,
0.01138,
0.01366,
0.01639,
0.01967,
0.0236,
0.02832,
0.03399,
0.04079,
0.04895,
0.05874
],
"values": [
[
0.00778,
0.0202,
0.02263,
0.0255,
0.02899,
0.03312,
0.03811,
0.04413,
0.05135,
0.05997,
0.07031,
0.08265
],
[
0.00773,
0.02013,
0.0226,
0.02551,
0.02897,
0.03312,
0.0381,
0.04414,
0.05135,
0.05998,
0.0703,
0.08268
],
[
0.00765,
0.0201,
0.02252,
0.02549,
0.02899,
0.03313,
0.03814,
0.04409,
0.05129,
0.05996,
0.07028,
0.08277
],
[
0.00757,
0.02002,
0.02247,
0.02538,
0.02885,
0.03307,
0.03809,
0.0441,
0.0513,
0.05984,
0.07019,
0.08268
],
[
0.00754,
0.01993,
0.02239,
0.0253,
0.02878,
0.03303,
0.03802,
0.04404,
0.05125,
0.05983,
0.07019,
0.08266
],
[
0.00747,
0.01985,
0.0223,
0.02522,
0.0287,
0.03294,
0.03799,
0.04398,
0.05111,
0.05977,
0.07015,
0.08266
],
[
0.00744,
0.01975,
0.02218,
0.02513,
0.02867,
0.03284,
0.03779,
0.04389,
0.05109,
0.05977,
0.07015,
0.0825
],
[
0.00741,
0.01966,
0.02211,
0.02505,
0.02856,
0.03272,
0.03778,
0.04379,
0.0509,
0.05967,
0.07,
0.08251
],
[
0.0074,
0.01958,
0.02205,
0.02495,
0.02841,
0.03265,
0.03774,
0.04363,
0.0509,
0.05957,
0.0698,
0.08234
],
[
0.00741,
0.01957,
0.022,
0.0249,
0.02833,
0.03253,
0.03754,
0.04353,
0.05093,
0.05947,
0.06983,
0.08228
],
[
0.0074,
0.01956,
0.02188,
0.02481,
0.02826,
0.03244,
0.03739,
0.04346,
0.05064,
0.05919,
0.06965,
0.08204
],
[
0.0074,
0.01945,
0.02188,
0.02477,
0.02825,
0.03242,
0.03746,
0.04338,
0.05062,
0.05921,
0.06958,
0.08201
],
[
0.00745,
0.01951,
0.0219,
0.02478,
0.02824,
0.03234,
0.03733,
0.0433,
0.05049,
0.0592,
0.06953,
0.08197
]
]
},
"when": "(!A)"
}
],
"max_capacitance": 0.03723,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"ccsn_first_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"index_2": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"values": [
[
3.08611,
0.0850194,
0.0638349,
0.0621712,
0.0604756,
0.0596131,
0.0587389,
0.0578511,
0.0569472,
0.056024,
0.0550773,
0.0541015,
0.0530882,
0.0520253,
0.0508927,
0.0496535,
0.0482232,
0.0463799,
0.0437072,
0.0398513,
0.0346606,
0.0280816,
0.0201005,
0.0107291,
-3.16046e-09,
-0.0247917,
-0.0529194,
-0.15689,
-2.30576
],
[
3.08517,
0.0843317,
0.0632904,
0.0616718,
0.06002,
0.059179,
0.058326,
0.0574591,
0.056576,
0.0556734,
0.0547472,
0.0537918,
0.052799,
0.0517569,
0.0506455,
0.0494285,
0.0480222,
0.0462066,
0.043569,
0.0397568,
0.0346144,
0.0280807,
0.0201316,
0.0107657,
-3.16047e-09,
-0.0250325,
-0.0536803,
-0.161614,
-2.30729
],
[
3.07823,
0.0778202,
0.0572654,
0.0558978,
0.054498,
0.0537838,
0.0530584,
0.0523201,
0.0515669,
0.0507961,
0.0500041,
0.0491859,
0.0483345,
0.0474395,
0.0464833,
0.0454333,
0.0442128,
0.0426134,
0.0402442,
0.0367787,
0.0320718,
0.0260613,
0.0187169,
0.0100281,
-3.16056e-09,
-0.0235073,
-0.0507559,
-0.156008,
-2.30623
],
[
3.07656,
0.0750187,
0.0530654,
0.0518657,
0.0506349,
0.0500057,
0.0493659,
0.048714,
0.0480482,
0.047366,
0.0466642,
0.0459385,
0.0451826,
0.0443869,
0.0435357,
0.0425991,
0.0415054,
0.040055,
0.0378739,
0.0346538,
0.0302566,
0.0246184,
0.0177046,
0.00949919,
-3.16052e-09,
-0.0224142,
-0.048647,
-0.151548,
-2.30528
],
[
3.07681,
0.0813271,
0.0469457,
0.0459862,
0.0449968,
0.0444892,
0.0439717,
0.0434432,
0.0429021,
0.0423465,
0.0417737,
0.04118,
0.0405601,
0.0399062,
0.0392049,
0.0384305,
0.037519,
0.0362859,
0.034384,
0.0315318,
0.0275977,
0.022513,
0.016234,
0.0087342,
-1.55516e-08,
-0.0208697,
-0.0457035,
-0.145355,
-2.30394
],
[
3.07647,
0.0945128,
0.0428712,
0.0420694,
0.0412386,
0.0408108,
0.0403736,
0.0399261,
0.0394668,
0.0389941,
0.0385057,
0.0379983,
0.0374675,
0.0369063,
0.0363029,
0.0356347,
0.0348431,
0.0337552,
0.032044,
0.029445,
0.0258284,
0.0211194,
0.0152662,
0.00823368,
6.55625e-09,
-0.019886,
-0.043855,
-0.141523,
-2.30311
],
[
3.07499,
0.115353,
0.037872,
0.0372594,
0.0366212,
0.0362902,
0.0359504,
0.035601,
0.0352409,
0.0348687,
0.0344824,
0.0340796,
0.0336564,
0.0332072,
0.0327223,
0.0321824,
0.0315366,
0.0306284,
0.0291582,
0.0268812,
0.023666,
0.0194262,
0.0140975,
0.00763287,
2.33988e-08,
-0.0187349,
-0.0417184,
-0.137161,
-2.30217
],
[
3.07207,
0.140344,
0.0317073,
0.0312985,
0.0308882,
0.0306727,
0.0304494,
0.0302177,
0.0299768,
0.0297256,
0.0294628,
0.0291863,
0.0288933,
0.0285798,
0.0282384,
0.0278544,
0.0273875,
0.0267054,
0.0255487,
0.0236938,
0.0209988,
0.017356,
0.0126808,
0.00691039,
2.916e-08,
-0.0173939,
-0.0392651,
-0.132239,
-2.30111
],
[
3.0684,
0.165779,
0.0245373,
0.0241611,
0.0239492,
0.0238385,
0.0237241,
0.0236053,
0.0234816,
0.0233521,
0.0232161,
0.0230721,
0.0229185,
0.0227526,
0.0225701,
0.022362,
0.0221023,
0.021698,
0.0209527,
0.019667,
0.0176678,
0.0148031,
0.0109546,
0.00603911,
1.11516e-08,
-0.0158395,
-0.0364679,
-0.126737,
-2.29992
],
[
3.06508,
0.19044,
0.0181517,
0.016865,
0.0167335,
0.0166694,
0.0166042,
0.0165378,
0.01647,
0.0164006,
0.0163291,
0.0162552,
0.0161783,
0.0160972,
0.0160103,
0.0159139,
0.0157953,
0.0156042,
0.0152371,
0.0145857,
0.0134724,
0.0116309,
0.0088426,
0.00498677,
-1.03366e-07,
-0.014048,
-0.0333022,
-0.120641,
-2.2986
],
[
3.06271,
0.214469,
0.0164584,
0.0105174,
0.0103799,
0.0103374,
0.0102946,
0.0102514,
0.0102076,
0.0101632,
0.010118,
0.0100719,
0.0100244,
0.00997528,
0.0099236,
0.00986745,
0.00979915,
0.00968201,
0.00944926,
0.00907903,
0.00856185,
0.00776572,
0.00627587,
0.00372309,
-1.06226e-06,
-0.0119997,
-0.0297507,
-0.113949,
-2.29714
],
[
3.0617,
0.238477,
0.0234253,
0.00590199,
0.00542239,
0.00539352,
0.00536597,
0.00533831,
0.00531046,
0.00528236,
0.00525394,
0.00522511,
0.00519574,
0.00516562,
0.00513435,
0.00510092,
0.00506036,
0.00498172,
0.00481078,
0.00455225,
0.00423794,
0.00387937,
0.00338655,
0.00226265,
-1.12082e-05,
-0.00969409,
-0.0258185,
-0.106692,
-2.29555
],
[
3.0623,
0.263133,
0.0376431,
0.00494584,
0.00215963,
0.00212669,
0.00210842,
0.00209069,
0.00207294,
0.00205504,
0.00203692,
0.00201851,
0.00199975,
0.00198054,
0.00196071,
0.0019398,
0.00191483,
0.00186024,
0.00174062,
0.00158045,
0.00140876,
0.00123719,
0.00106356,
0.000804247,
-0.000111325,
-0.00724255,
-0.0216294,
-0.0990678,
-2.29412
],
[
3.06458,
0.288786,
0.0557608,
0.0110722,
0.000594415,
0.000422772,
0.000383971,
0.000351351,
0.00031936,
0.000286895,
0.000253519,
0.000218991,
0.000183144,
0.00014584,
0.000106933,
6.61577e-05,
2.1417e-05,
-4.50383e-05,
-0.000136921,
-0.000234633,
-0.000330762,
-0.000424137,
-0.000515456,
-0.000611187,
-0.000871725,
-0.00541138,
-0.0179901,
-0.0921616,
-2.29465
],
[
3.06798,
0.315073,
0.0755998,
0.0228076,
0.000126937,
-0.00112508,
-0.00139698,
-0.00157911,
-0.00174495,
-0.00190683,
-0.00206897,
-0.00223334,
-0.00240104,
-0.00257275,
-0.00274896,
-0.00293012,
-0.00311872,
-0.00331963,
-0.00352776,
-0.00374047,
-0.00395757,
-0.00417932,
-0.00440608,
-0.00463884,
-0.00490974,
-0.00744744,
-0.0181559,
-0.0897331,
-2.30231
],
[
3.07177,
0.341361,
0.0958664,
0.0356913,
2.72748e-05,
-0.00542865,
-0.00728689,
-0.00812594,
-0.00875451,
-0.00931069,
-0.00983413,
-0.0103411,
-0.0108398,
-0.0113349,
-0.0118291,
-0.0123247,
-0.012824,
-0.0133273,
-0.0138343,
-0.0143454,
-0.014861,
-0.0153815,
-0.015907,
-0.0164381,
-0.0169816,
-0.0186994,
-0.0271947,
-0.0963152,
-2.32064
],
[
3.07561,
0.367385,
0.115962,
0.048041,
6.07347e-06,
-0.0122226,
-0.0186437,
-0.0214262,
-0.0230293,
-0.0242531,
-0.0253092,
-0.0262747,
-0.0271853,
-0.0280603,
-0.0289109,
-0.0297447,
-0.0305665,
-0.0313795,
-0.0321862,
-0.0329883,
-0.0337874,
-0.0345845,
-0.0353807,
-0.0361766,
-0.0369745,
-0.0387063,
-0.0446659,
-0.110256,
-2.34578
],
[
3.07943,
0.393084,
0.135559,
0.0596495,
1.24836e-06,
-0.0192498,
-0.0317141,
-0.0381882,
-0.0414602,
-0.043622,
-0.0453285,
-0.0468008,
-0.048134,
-0.0493766,
-0.0505563,
-0.0516903,
-0.05279,
-0.0538632,
-0.0549156,
-0.0559515,
-0.0569739,
-0.0579855,
-0.0589883,
-0.0599839,
-0.0609743,
-0.0629721,
-0.0668699,
-0.127693,
-2.37358
],
[
3.08321,
0.418427,
0.154397,
0.0705364,
1.93699e-07,
-0.025803,
-0.0443662,
-0.0556781,
-0.0614358,
-0.0648532,
-0.0673457,
-0.069387,
-0.0711694,
-0.0727864,
-0.0742899,
-0.0757114,
-0.0770711,
-0.0783831,
-0.0796572,
-0.0809006,
-0.082119,
-0.0833165,
-0.0844967,
-0.0856623,
-0.0868159,
-0.0891032,
-0.0919528,
-0.146958,
-2.40264
],
[
3.08695,
0.443382,
0.172263,
0.0807102,
2.16717e-08,
-0.0318595,
-0.0562571,
-0.0728622,
-0.0819261,
-0.086973,
-0.0904121,
-0.0931006,
-0.095373,
-0.097386,
-0.0992237,
-0.100936,
-0.102554,
-0.1041,
-0.105589,
-0.107031,
-0.108435,
-0.109808,
-0.111153,
-0.112477,
-0.113781,
-0.116347,
-0.11901,
-0.167603,
-2.43264
],
[
3.09064,
0.467909,
0.188999,
0.0901636,
4.83243e-09,
-0.0374686,
-0.0673897,
-0.0893518,
-0.102434,
-0.109548,
-0.114122,
-0.117549,
-0.120361,
-0.122798,
-0.124986,
-0.126998,
-0.128879,
-0.13066,
-0.132362,
-0.134,
-0.135586,
-0.137128,
-0.138634,
-0.140108,
-0.141556,
-0.14439,
-0.147202,
-0.189466,
-2.46337
],
[
3.09429,
0.491966,
0.204505,
0.0988886,
3.74568e-09,
-0.0426539,
-0.0777711,
-0.104955,
-0.122577,
-0.132256,
-0.138191,
-0.142463,
-0.145871,
-0.148765,
-0.151323,
-0.153646,
-0.155797,
-0.157817,
-0.159733,
-0.161567,
-0.163333,
-0.165043,
-0.166704,
-0.168326,
-0.169913,
-0.173005,
-0.176034,
-0.212429,
-2.49462
],
[
3.09789,
0.515501,
0.218734,
0.106885,
3.68973e-09,
-0.0474235,
-0.0873944,
-0.119563,
-0.142018,
-0.154805,
-0.162371,
-0.167615,
-0.171684,
-0.175073,
-0.178024,
-0.180673,
-0.183103,
-0.185367,
-0.187502,
-0.189532,
-0.191478,
-0.193354,
-0.195171,
-0.196937,
-0.19866,
-0.202004,
-0.205257,
-0.236388,
-2.52614
],
[
3.10144,
0.538461,
0.231681,
0.114164,
3.68674e-09,
-0.0517831,
-0.096254,
-0.133114,
-0.160477,
-0.176913,
-0.186438,
-0.192806,
-0.197616,
-0.201543,
-0.204915,
-0.207908,
-0.210628,
-0.213144,
-0.215501,
-0.217731,
-0.219859,
-0.221901,
-0.223872,
-0.225782,
-0.22764,
-0.231231,
-0.234707,
-0.261259,
-2.55775
],
[
3.10493,
0.560781,
0.243374,
0.120745,
3.68641e-09,
-0.0557415,
-0.104354,
-0.145584,
-0.177744,
-0.198312,
-0.210187,
-0.217866,
-0.223511,
-0.22803,
-0.231854,
-0.235211,
-0.238235,
-0.241012,
-0.243598,
-0.246033,
-0.248345,
-0.250555,
-0.252681,
-0.254735,
-0.256728,
-0.260565,
-0.26426,
-0.28697,
-2.5893
],
[
3.11173,
0.603194,
0.2632,
0.131924,
3.69994e-09,
-0.0625101,
-0.118341,
-0.16731,
-0.208266,
-0.237979,
-0.255948,
-0.266995,
-0.274681,
-0.280588,
-0.285439,
-0.289603,
-0.293289,
-0.296625,
-0.299695,
-0.302557,
-0.305251,
-0.307808,
-0.310251,
-0.312598,
-0.314862,
-0.319191,
-0.323321,
-0.340641,
-2.65181
],
[
3.11826,
0.641979,
0.278718,
0.140696,
3.69288e-09,
-0.0678737,
-0.129574,
-0.184965,
-0.233333,
-0.272108,
-0.298067,
-0.313869,
-0.324305,
-0.33198,
-0.33808,
-0.343192,
-0.347633,
-0.351595,
-0.355197,
-0.358522,
-0.361626,
-0.36455,
-0.367326,
-0.369978,
-0.372523,
-0.377356,
-0.381927,
-0.39683,
-2.71311
],
[
3.13565,
0.725183,
0.305138,
0.1557,
3.86567e-09,
-0.0773071,
-0.149922,
-0.217765,
-0.280664,
-0.338101,
-0.388432,
-0.428023,
-0.454957,
-0.472691,
-0.485214,
-0.494776,
-0.502525,
-0.509075,
-0.514787,
-0.519881,
-0.524505,
-0.52876,
-0.532718,
-0.536432,
-0.539943,
-0.546474,
-0.5525,
-0.569294,
-2.88776
],
[
3.15041,
0.744718,
0.309939,
0.158698,
6.30214e-09,
-0.0800285,
-0.157094,
-0.231152,
-0.302157,
-0.370051,
-0.43474,
-0.496033,
-0.553461,
-0.605831,
-0.650642,
-0.685338,
-0.710505,
-0.728983,
-0.743216,
-0.754712,
-0.764361,
-0.772699,
-0.780068,
-0.786698,
-0.792745,
-0.803517,
-0.81299,
-0.836892,
-3.14938
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000535,
"miller_cap_rise": 0.000573,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00481365
],
"index_3": [
0.01766536,
0.03037229,
0.04209337,
0.05378265,
0.06966391
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.01
],
"index_2": [
0.01444095
],
"index_3": [
0.02462976,
0.05017981,
0.07487981,
0.100125,
0.1344001
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00481365
],
"index_3": [
0.0828719,
0.09863079,
0.1100616,
0.121485,
0.1372191
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.01444095
],
"index_3": [
0.09233635,
0.1184023,
0.1429744,
0.1682042,
0.2024618
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.06853825,
0.09336623,
0.1197349,
0.1499958,
0.2097608
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.01
],
"index_2": [
0.003
],
"index_3": [
0.07722465,
0.11698,
0.1591791,
0.20659,
0.2912621
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.1355202,
0.1604557,
0.1868131,
0.2170488,
0.2769929
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.003
],
"index_3": [
0.1441085,
0.1838786,
0.2261005,
0.2735689,
0.3582832
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
1.00859375
],
"index_2": [
1.61331924977948
],
"index_3": [
0.00481365
],
"index_4": [
0.8080965,
0.8695025,
1.132916,
1.309191,
1.407553
],
"values": [
1.2324258,
1.01188128,
0.8648516,
1.01188128,
1.2324258
]
},
{
"index_1": [
1.12890625
],
"index_2": [
0.711511398096781
],
"index_3": [
0.00481365
],
"index_4": [
0.3589363,
0.3943434,
0.5572063,
0.6501636,
0.714865
],
"values": [
1.2081842,
0.97309472,
0.8163684,
0.97309472,
1.2081842
]
},
{
"index_1": [
1.24140625
],
"index_2": [
0.417263270779377
],
"index_3": [
0.00481365
],
"index_4": [
0.2116354,
0.2362907,
0.3539884,
0.4183785,
0.4723661
],
"values": [
1.1966661,
0.95466576,
0.7933322,
0.95466576,
1.1966661
]
},
{
"index_1": [
1.00859375
],
"index_2": [
0.806659624889741
],
"index_3": [
0.00481365
],
"index_4": [
0.4063249,
0.4368607,
0.5633625,
0.675141,
0.7481496
],
"values": [
1.4272428,
1.32358848,
1.2544856,
1.32358848,
1.4272428
]
},
{
"index_1": [
1.24140625
],
"index_2": [
0.208631635389688
],
"index_3": [
0.00481365
],
"index_4": [
0.1068995,
0.118576,
0.1719043,
0.225106,
0.2701299
],
"values": [
1.42288445,
1.31661512,
1.2457689,
1.31661512,
1.42288445
]
},
{
"index_1": [
1.12890625
],
"index_2": [
0.711511398096781
],
"index_3": [
0.01444095
],
"index_4": [
0.3588599,
0.3943351,
0.5156209,
0.6657888,
0.7684983
],
"values": [
1.40631735,
1.29010776,
1.2126347,
1.29010776,
1.40631735
]
},
{
"index_1": [
1.24140625
],
"index_2": [
0.417263270779377
],
"index_3": [
0.01444095
],
"index_4": [
0.211613,
0.2364264,
0.3247154,
0.4330251,
0.5267632
],
"values": [
1.40378865,
1.28606184,
1.2075773,
1.28606184,
1.40378865
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.09765625
],
"index_2": [
2
],
"index_3": [
0.001
],
"index_4": [
1.106613,
1.167241,
1.263507,
1.334374,
1.369254
],
"values": [
0.1179654,
0.18874464,
0.2359308,
0.18874464,
0.1179654
]
},
{
"index_1": [
1.18828125
],
"index_2": [
2
],
"index_3": [
0.001
],
"index_4": [
1.06661,
1.149299,
1.309419,
1.406551,
1.451194
],
"values": [
0.3645262,
0.58324192,
0.7290524,
0.58324192,
0.3645262
]
},
{
"index_1": [
1.26328125
],
"index_2": [
1.85113205968049
],
"index_3": [
0.001
],
"index_4": [
0.9707173,
1.046961,
1.229332,
1.353992,
1.399763
],
"values": [
0.559946,
0.8959136,
1.119892,
0.8959136,
0.559946
]
},
{
"index_1": [
1.09765625
],
"index_2": [
2
],
"index_3": [
0.001
],
"index_4": [
1.106613,
1.167241,
1.263507,
1.334374,
1.369254
],
"values": [
0.1179654,
0.18874464,
0.2359308,
0.18874464,
0.1179654
]
},
{
"index_1": [
1.26328125
],
"index_2": [
0.925566029840243
],
"index_3": [
0.001
],
"index_4": [
0.5205697,
0.5645425,
0.631924,
0.6827364,
0.704902
],
"values": [
0.2469592,
0.39513472,
0.4939184,
0.39513472,
0.2469592
]
},
{
"index_1": [
1.18828125
],
"index_2": [
2
],
"index_3": [
0.003
],
"index_4": [
1.07051,
1.15477,
1.320786,
1.415908,
1.461274
],
"values": [
0.2306034,
0.36896544,
0.4612068,
0.36896544,
0.2306034
]
},
{
"index_1": [
1.26328125
],
"index_2": [
1.85113205968049
],
"index_3": [
0.003
],
"index_4": [
0.9803058,
1.068929,
1.253274,
1.35923,
1.40789
],
"values": [
0.38003805,
0.60806088,
0.7600761,
0.60806088,
0.38003805
]
}
]
},
"stage_type": "both"
},
"cell_fall delay_template13x12": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00948,
0.01138,
0.01366,
0.01639,
0.01967,
0.0236,
0.02832,
0.03399,
0.04079,
0.04895,
0.05874
],
"values": [
[
0.0183,
0.05159,
0.05792,
0.06548,
0.0745,
0.0853,
0.09819,
0.11368,
0.13222,
0.15442,
0.18103,
0.21299
],
[
0.02158,
0.05476,
0.06108,
0.06864,
0.07763,
0.08841,
0.10133,
0.11681,
0.13533,
0.15755,
0.18427,
0.21621
],
[
0.02552,
0.0585,
0.06478,
0.0724,
0.08142,
0.09224,
0.10513,
0.12064,
0.13918,
0.16142,
0.1881,
0.21999
],
[
0.0314,
0.06427,
0.07061,
0.07817,
0.08719,
0.09799,
0.11088,
0.12635,
0.14494,
0.16712,
0.19376,
0.2257
],
[
0.03893,
0.07296,
0.07928,
0.08683,
0.09583,
0.1065,
0.1194,
0.13484,
0.15339,
0.1758,
0.20242,
0.23441
],
[
0.04826,
0.08607,
0.09238,
0.09992,
0.10893,
0.11972,
0.13263,
0.14811,
0.16662,
0.18883,
0.2155,
0.24738
],
[
0.05977,
0.10562,
0.11214,
0.11961,
0.12861,
0.1394,
0.15227,
0.16771,
0.18625,
0.20843,
0.23504,
0.26701
],
[
0.07414,
0.13124,
0.13919,
0.1482,
0.15797,
0.169,
0.18188,
0.19731,
0.2158,
0.23788,
0.26432,
0.29611
],
[
0.09201,
0.16328,
0.17326,
0.18434,
0.19659,
0.21016,
0.22512,
0.24158,
0.26007,
0.28201,
0.30894,
0.34074
],
[
0.11385,
0.20334,
0.21575,
0.22953,
0.2449,
0.26177,
0.28023,
0.30075,
0.32337,
0.34854,
0.37593,
0.40769
],
[
0.14056,
0.25309,
0.26844,
0.28583,
0.305,
0.32612,
0.34936,
0.37496,
0.40311,
0.43401,
0.46792,
0.50502
],
[
0.17217,
0.31477,
0.33417,
0.35537,
0.38017,
0.40667,
0.43577,
0.46767,
0.50277,
0.54117,
0.58337,
0.62967
],
[
0.2084,
0.3906,
0.415,
0.4433,
0.4736,
0.5068,
0.5434,
0.5833,
0.6277,
0.6759,
0.7285,
0.7861
]
]
},
"cell_rise delay_template13x12": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00948,
0.01138,
0.01366,
0.01639,
0.01967,
0.0236,
0.02832,
0.03399,
0.04079,
0.04895,
0.05874
],
"values": [
[
0.07551,
0.25948,
0.29551,
0.33894,
0.39128,
0.45365,
0.52818,
0.61724,
0.72594,
0.85371,
1.01037,
1.19647
],
[
0.07823,
0.26292,
0.29919,
0.34268,
0.39462,
0.45671,
0.53213,
0.62188,
0.72896,
0.85744,
1.01414,
1.20034
],
[
0.08223,
0.26698,
0.3033,
0.34682,
0.39875,
0.46128,
0.53654,
0.62548,
0.73426,
0.86331,
1.01742,
1.20222
],
[
0.08872,
0.27336,
0.30978,
0.35339,
0.40532,
0.46777,
0.54304,
0.63257,
0.73986,
0.87062,
1.02497,
1.21208
],
[
0.09877,
0.28303,
0.31946,
0.36293,
0.41533,
0.47793,
0.55222,
0.64311,
0.7511,
0.88,
1.03431,
1.22051
],
[
0.11368,
0.29722,
0.33379,
0.37747,
0.4294,
0.4924,
0.56742,
0.65681,
0.76555,
0.89529,
1.05002,
1.23502
],
[
0.13544,
0.31869,
0.35487,
0.39856,
0.4508,
0.51333,
0.58842,
0.67881,
0.78671,
0.91543,
1.07023,
1.25843
],
[
0.16676,
0.34987,
0.38635,
0.42991,
0.4818,
0.54472,
0.61943,
0.70993,
0.81814,
0.94726,
1.10336,
1.28946
],
[
0.20794,
0.39697,
0.43351,
0.47685,
0.52901,
0.59139,
0.66654,
0.75635,
0.86395,
0.99435,
1.14995,
1.33505
],
[
0.26391,
0.46742,
0.50378,
0.54737,
0.59944,
0.66169,
0.73649,
0.82679,
0.93479,
1.06449,
1.21909,
1.40569
],
[
0.33922,
0.57282,
0.60932,
0.65282,
0.70492,
0.76792,
0.84252,
0.93262,
1.04032,
1.17002,
1.32502,
1.51122
],
[
0.43937,
0.72127,
0.76267,
0.80967,
0.86307,
0.92587,
1.00077,
1.09117,
1.19927,
1.32807,
1.48397,
1.66927
],
[
0.5715,
0.9234,
0.9718,
1.0265,
1.0882,
1.1582,
1.2375,
1.3283,
1.4368,
1.5668,
1.7217,
1.9086
]
]
},
"fall_transition delay_template13x12": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00948,
0.01138,
0.01366,
0.01639,
0.01967,
0.0236,
0.02832,
0.03399,
0.04079,
0.04895,
0.05874
],
"values": [
[
0.0115,
0.0519,
0.05995,
0.0696,
0.08117,
0.09511,
0.11179,
0.13178,
0.15587,
0.18478,
0.21944,
0.26125
],
[
0.01128,
0.05188,
0.05988,
0.0696,
0.08117,
0.09503,
0.11177,
0.13185,
0.15592,
0.18486,
0.21962,
0.26122
],
[
0.01139,
0.05186,
0.05992,
0.06958,
0.08114,
0.0951,
0.11171,
0.13176,
0.15592,
0.18468,
0.21935,
0.26126
],
[
0.01268,
0.05178,
0.05989,
0.06954,
0.08112,
0.09508,
0.11175,
0.13176,
0.15585,
0.18483,
0.21943,
0.26125
],
[
0.01556,
0.0518,
0.05978,
0.06949,
0.08112,
0.09499,
0.11177,
0.13185,
0.15589,
0.18485,
0.21961,
0.26124
],
[
0.01931,
0.05364,
0.06099,
0.07007,
0.08128,
0.09497,
0.11174,
0.13178,
0.15587,
0.18476,
0.21959,
0.26096
],
[
0.02429,
0.05924,
0.06585,
0.07414,
0.08427,
0.09695,
0.11266,
0.132,
0.15595,
0.18475,
0.21957,
0.26107
],
[
0.03075,
0.07157,
0.07716,
0.08415,
0.09324,
0.10447,
0.11876,
0.1364,
0.15851,
0.18591,
0.2196,
0.26114
],
[
0.03925,
0.08941,
0.09605,
0.10361,
0.11201,
0.12152,
0.13382,
0.14956,
0.16926,
0.19433,
0.22522,
0.26412
],
[
0.05076,
0.11227,
0.12067,
0.12976,
0.13982,
0.15127,
0.16363,
0.17742,
0.19423,
0.21575,
0.24328,
0.27834
],
[
0.06638,
0.14238,
0.15282,
0.16375,
0.17603,
0.18985,
0.20477,
0.22146,
0.24009,
0.26047,
0.28318,
0.31337
],
[
0.08816,
0.1819,
0.1946,
0.2084,
0.2228,
0.2394,
0.2578,
0.278,
0.3008,
0.3256,
0.3523,
0.3822
],
[
0.1188,
0.2349,
0.2503,
0.2661,
0.2847,
0.3045,
0.3268,
0.3517,
0.3786,
0.4087,
0.4414,
0.4777
]
]
},
"related_pin": "A",
"rise_transition delay_template13x12": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00948,
0.01138,
0.01366,
0.01639,
0.01967,
0.0236,
0.02832,
0.03399,
0.04079,
0.04895,
0.05874
],
"values": [
[
0.05042,
0.2924,
0.34075,
0.39878,
0.4683,
0.55307,
0.65201,
0.7719,
0.9177,
1.08921,
1.29721,
1.54731
],
[
0.05043,
0.29249,
0.34081,
0.39888,
0.46856,
0.55172,
0.65289,
0.77361,
0.9163,
1.08919,
1.29718,
1.54732
],
[
0.05043,
0.29266,
0.3414,
0.39916,
0.4686,
0.55182,
0.65287,
0.77172,
0.91757,
1.09104,
1.29646,
1.54709
],
[
0.05037,
0.29244,
0.34086,
0.39897,
0.46874,
0.55181,
0.65176,
0.77212,
0.91714,
1.0905,
1.29636,
1.54843
],
[
0.05047,
0.29276,
0.34085,
0.39873,
0.46828,
0.5519,
0.65238,
0.77314,
0.91757,
1.08968,
1.29646,
1.54637
],
[
0.05055,
0.29235,
0.3408,
0.39902,
0.46823,
0.55182,
0.65187,
0.77226,
0.91635,
1.09071,
1.29731,
1.54585
],
[
0.05123,
0.29257,
0.34102,
0.39886,
0.46832,
0.55226,
0.65183,
0.77324,
0.91751,
1.08934,
1.29819,
1.54845
],
[
0.05612,
0.29253,
0.34073,
0.3992,
0.46916,
0.55194,
0.65173,
0.7718,
0.91832,
1.08949,
1.29883,
1.54782
],
[
0.06629,
0.29268,
0.34098,
0.39919,
0.46845,
0.55176,
0.65198,
0.77219,
0.91642,
1.08898,
1.29911,
1.54637
],
[
0.07979,
0.29674,
0.34319,
0.39989,
0.46834,
0.5525,
0.653,
0.772,
0.91631,
1.08914,
1.29726,
1.5463
],
[
0.10036,
0.31224,
0.35588,
0.4097,
0.4752,
0.5552,
0.6532,
0.7722,
0.9162,
1.0903,
1.2966,
1.5461
],
[
0.131,
0.3478,
0.3869,
0.4363,
0.4977,
0.573,
0.6663,
0.7805,
0.9198,
1.0913,
1.2965,
1.546
],
[
0.1752,
0.4105,
0.4498,
0.4957,
0.55,
0.6189,
0.705,
0.8119,
0.9441,
1.1062,
1.3056,
1.5493
]
]
},
"timing_sense": "negative_unate"
},
{
"ccsn_first_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"index_2": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"values": [
[
3.10824,
0.113058,
0.0945746,
0.0930851,
0.0910416,
0.0898306,
0.0885037,
0.0870663,
0.0855204,
0.0838639,
0.0820883,
0.0801761,
0.0780938,
0.0757773,
0.0730979,
0.0698265,
0.0657087,
0.0606167,
0.0545442,
0.0475322,
0.0396306,
0.0308866,
0.0213429,
0.0110366,
2.99365e-08,
-0.0237193,
-0.049141,
-0.142423,
-2.29989
],
[
3.09276,
0.0976983,
0.080105,
0.079619,
0.079076,
0.0787722,
0.0784357,
0.0780492,
0.0775774,
0.0769563,
0.0761143,
0.0749979,
0.0735564,
0.0717144,
0.0693577,
0.0663498,
0.0625716,
0.0579439,
0.052422,
0.0459834,
0.0386199,
0.0303321,
0.0211261,
0.0110116,
1.44399e-09,
-0.0241877,
-0.0507292,
-0.150094,
-2.30342
],
[
3.08105,
0.0840217,
0.0653419,
0.0645632,
0.0637297,
0.0632882,
0.0628272,
0.0623434,
0.0618322,
0.0612872,
0.0606985,
0.0600497,
0.0593098,
0.0584086,
0.0571884,
0.0554282,
0.0529631,
0.0496943,
0.045551,
0.0404771,
0.034429,
0.0273744,
0.019292,
0.0101692,
-2.15063e-09,
-0.0230409,
-0.0491596,
-0.149843,
-2.3045
],
[
3.07776,
0.0779593,
0.0569555,
0.0560526,
0.055107,
0.0546154,
0.0541094,
0.0535869,
0.0530452,
0.0524807,
0.0518883,
0.0512606,
0.0505857,
0.0498428,
0.0489879,
0.047909,
0.046364,
0.0440852,
0.0409177,
0.0367778,
0.0316091,
0.0253705,
0.0180334,
0.00957968,
1.18668e-09,
-0.0221536,
-0.0477642,
-0.148166,
-2.30436
],
[
3.07681,
0.0813271,
0.0469457,
0.0459862,
0.0449968,
0.0444892,
0.0439717,
0.0434432,
0.0429021,
0.0423465,
0.0417737,
0.04118,
0.0405601,
0.0399062,
0.0392049,
0.0384305,
0.037519,
0.0362859,
0.034384,
0.0315318,
0.0275977,
0.022513,
0.016234,
0.0087342,
-1.55516e-08,
-0.0208697,
-0.0457035,
-0.145355,
-2.30394
],
[
3.07659,
0.0936939,
0.0415313,
0.0405663,
0.0395777,
0.0390731,
0.0385609,
0.0380399,
0.0375093,
0.0369674,
0.0364125,
0.0358419,
0.0352521,
0.0346381,
0.0339918,
0.0332994,
0.0325325,
0.0316121,
0.0302994,
0.028194,
0.0250235,
0.0206692,
0.0150688,
0.00818531,
-3.15715e-09,
-0.0200383,
-0.0443622,
-0.143443,
-2.30361
],
[
3.07614,
0.114546,
0.0359644,
0.0350058,
0.0340321,
0.0335375,
0.0330372,
0.0325304,
0.0320165,
0.0314943,
0.0309625,
0.0304195,
0.0298629,
0.0292894,
0.0286941,
0.0280693,
0.0274011,
0.0266577,
0.0257396,
0.0243382,
0.0220068,
0.0184916,
0.0136853,
0.00753138,
-3.30514e-09,
-0.0190527,
-0.042773,
-0.141146,
-2.30319
],
[
3.07537,
0.140714,
0.0303589,
0.0294025,
0.0284575,
0.0279798,
0.0274981,
0.0270121,
0.0265212,
0.0260247,
0.0255218,
0.0250113,
0.0244917,
0.0239611,
0.0234164,
0.0228533,
0.0222645,
0.0216361,
0.0209331,
0.0200268,
0.0185216,
0.0159391,
0.0120491,
0.00675328,
-4.02052e-09,
-0.0178871,
-0.0408991,
-0.138422,
-2.30267
],
[
3.07432,
0.168292,
0.0249358,
0.023865,
0.0229627,
0.022509,
0.0220531,
0.0215947,
0.0211336,
0.0206694,
0.0202015,
0.0197293,
0.0192518,
0.018768,
0.018276,
0.0177735,
0.0172566,
0.016719,
0.0161475,
0.0155027,
0.0146157,
0.0129873,
0.0101272,
0.0058296,
-1.24554e-08,
-0.0165105,
-0.0386977,
-0.135226,
-2.30203
],
[
3.07313,
0.195002,
0.0205249,
0.0185195,
0.017671,
0.0172492,
0.016827,
0.0164043,
0.0159808,
0.0155565,
0.015131,
0.0147041,
0.0142753,
0.013844,
0.0134093,
0.01297,
0.0125243,
0.0120693,
0.0115997,
0.0111034,
0.0105368,
0.00967373,
0.00790119,
0.00473967,
-1.05184e-07,
-0.0148858,
-0.0361186,
-0.13151,
-2.30128
],
[
3.07196,
0.220305,
0.0201707,
0.013544,
0.012726,
0.0123456,
0.0119668,
0.0115893,
0.0112131,
0.0108383,
0.0104649,
0.0100926,
0.00972144,
0.00935118,
0.00898152,
0.00861201,
0.00824193,
0.00787019,
0.00749488,
0.00711221,
0.00671199,
0.00624612,
0.00540923,
0.00347558,
-1.11e-06,
-0.01297,
-0.0331033,
-0.127219,
-2.30039
],
[
3.07098,
0.244549,
0.027531,
0.0093885,
0.0083014,
0.00797359,
0.00765055,
0.00733101,
0.00701504,
0.00670278,
0.00639432,
0.00608979,
0.00578928,
0.00549288,
0.00520063,
0.00491253,
0.00462851,
0.00434838,
0.0040717,
0.00379758,
0.00352385,
0.00324293,
0.0029018,
0.00208306,
-1.16268e-05,
-0.0107242,
-0.0295932,
-0.122307,
-2.2994
],
[
3.07036,
0.268316,
0.0411164,
0.0078998,
0.00461857,
0.00434604,
0.00409387,
0.00384815,
0.00360856,
0.0033752,
0.00314823,
0.00292785,
0.00271424,
0.00250758,
0.00230803,
0.00211573,
0.0019308,
0.0017533,
0.00158321,
0.00142041,
0.00126453,
0.00111444,
0.000964772,
0.000746991,
-0.000111328,
-0.00819936,
-0.025612,
-0.116851,
-2.29861
],
[
3.07033,
0.292167,
0.0578333,
0.0127709,
0.00196057,
0.00163448,
0.00144902,
0.00127763,
0.00111485,
0.00095962,
0.000811503,
0.000670229,
0.000535557,
0.000407237,
0.000284991,
0.000168501,
5.74081e-05,
-4.86888e-05,
-0.000150233,
-0.000247711,
-0.000341663,
-0.000432736,
-0.000522,
-0.000615673,
-0.000871902,
-0.00608504,
-0.0217995,
-0.111752,
-2.29982
],
[
3.0711,
0.316594,
0.0763685,
0.0233842,
0.000552291,
-0.00076139,
-0.00108882,
-0.00132085,
-0.00153134,
-0.001733,
-0.00193045,
-0.00212604,
-0.00232125,
-0.00251711,
-0.00271443,
-0.00291387,
-0.00311601,
-0.00332131,
-0.00353021,
-0.0037431,
-0.00396032,
-0.0041822,
-0.00440911,
-0.00464205,
-0.00491306,
-0.00769509,
-0.0211885,
-0.110512,
-2.30812
],
[
3.07295,
0.34186,
0.0960895,
0.0358402,
0.00011283,
-0.00536109,
-0.00723363,
-0.00808455,
-0.0087234,
-0.0092886,
-0.00982,
-0.010334,
-0.010839,
-0.0113396,
-0.0118389,
-0.0123387,
-0.0128404,
-0.0133449,
-0.013853,
-0.0143653,
-0.014882,
-0.0154036,
-0.0159304,
-0.0164627,
-0.0170074,
-0.0187594,
-0.0290185,
-0.117377,
-2.327
],
[
3.07592,
0.367606,
0.116096,
0.0481253,
2.25155e-05,
-0.0122253,
-0.0186594,
-0.0214499,
-0.023059,
-0.0242876,
-0.0253479,
-0.0263172,
-0.0272315,
-0.0281098,
-0.0289635,
-0.0298001,
-0.0306243,
-0.0314396,
-0.0322485,
-0.033053,
-0.0338543,
-0.0346538,
-0.0354522,
-0.0362505,
-0.0370508,
-0.0387888,
-0.0455121,
-0.130402,
-2.35245
],
[
3.07951,
0.393271,
0.135724,
0.0597559,
4.5358e-06,
-0.0192822,
-0.031771,
-0.0382583,
-0.0415387,
-0.0437071,
-0.045419,
-0.0468961,
-0.0482337,
-0.0494804,
-0.0506639,
-0.0518015,
-0.0529047,
-0.0539813,
-0.055037,
-0.0560761,
-0.0571018,
-0.0581166,
-0.0591226,
-0.0601214,
-0.061115,
-0.0631191,
-0.0672235,
-0.145601,
-2.38017
],
[
3.08325,
0.418632,
0.15463,
0.0706866,
7.91658e-07,
-0.0258611,
-0.0444656,
-0.0557984,
-0.0615669,
-0.0649931,
-0.067493,
-0.0695408,
-0.071329,
-0.0729514,
-0.0744599,
-0.0758861,
-0.0772504,
-0.0785668,
-0.0798451,
-0.0810928,
-0.0823153,
-0.0835168,
-0.0847009,
-0.0858705,
-0.087028,
-0.089323,
-0.0922043,
-0.161743,
-2.40863
],
[
3.08698,
0.443618,
0.172583,
0.080913,
9.21764e-08,
-0.0319452,
-0.0564047,
-0.073042,
-0.0821173,
-0.0871739,
-0.090622,
-0.0933186,
-0.0955983,
-0.097618,
-0.0994619,
-0.10118,
-0.102804,
-0.104355,
-0.105849,
-0.107296,
-0.108705,
-0.110083,
-0.111433,
-0.112761,
-0.11407,
-0.116645,
-0.119318,
-0.179155,
-2.43743
],
[
3.09068,
0.468183,
0.189419,
0.0904234,
7.83854e-09,
-0.0375842,
-0.0675908,
-0.089601,
-0.102694,
-0.109816,
-0.1144,
-0.117836,
-0.120657,
-0.123101,
-0.125297,
-0.127315,
-0.129203,
-0.130991,
-0.132699,
-0.134343,
-0.135934,
-0.137482,
-0.138993,
-0.140472,
-0.141925,
-0.14477,
-0.147592,
-0.198132,
-2.46657
],
[
3.09433,
0.492284,
0.205033,
0.0992079,
1.95287e-09,
-0.0428012,
-0.0780295,
-0.105281,
-0.122917,
-0.132599,
-0.138542,
-0.142823,
-0.14624,
-0.149143,
-0.151709,
-0.154041,
-0.156199,
-0.158226,
-0.160149,
-0.16199,
-0.163762,
-0.165478,
-0.167146,
-0.168773,
-0.170366,
-0.173469,
-0.176509,
-0.218722,
-2.49603
],
[
3.09794,
0.51587,
0.219375,
0.107265,
1.66628e-09,
-0.0476034,
-0.0877123,
-0.119971,
-0.142447,
-0.155228,
-0.162799,
-0.168051,
-0.17213,
-0.175528,
-0.178488,
-0.181146,
-0.183584,
-0.185856,
-0.187998,
-0.190036,
-0.191989,
-0.193872,
-0.195695,
-0.197467,
-0.199197,
-0.202553,
-0.205819,
-0.240848,
-2.52574
],
[
3.10149,
0.538889,
0.232436,
0.114604,
1.69482e-09,
-0.0519956,
-0.0966321,
-0.133606,
-0.161004,
-0.177424,
-0.186947,
-0.19332,
-0.198139,
-0.202077,
-0.205458,
-0.20846,
-0.211189,
-0.213713,
-0.216078,
-0.218317,
-0.220452,
-0.222502,
-0.224479,
-0.226396,
-0.228261,
-0.231866,
-0.235354,
-0.26438,
-2.55562
],
[
3.10499,
0.561277,
0.244239,
0.121243,
1.76419e-09,
-0.0559859,
-0.104791,
-0.146161,
-0.178376,
-0.19892,
-0.21078,
-0.218461,
-0.224113,
-0.228642,
-0.232476,
-0.235842,
-0.238876,
-0.241662,
-0.244257,
-0.246699,
-0.24902,
-0.251238,
-0.253372,
-0.255433,
-0.257433,
-0.261284,
-0.264993,
-0.289173,
-2.58559
],
[
3.11181,
0.603864,
0.264271,
0.132531,
1.93817e-09,
-0.0628145,
-0.118892,
-0.16805,
-0.209113,
-0.238809,
-0.256729,
-0.267759,
-0.275445,
-0.281359,
-0.286219,
-0.290392,
-0.294087,
-0.297433,
-0.300512,
-0.303383,
-0.306086,
-0.308652,
-0.311104,
-0.313458,
-0.315731,
-0.320075,
-0.324221,
-0.341935,
-2.64546
],
[
3.11835,
0.642891,
0.279967,
0.141397,
2.54023e-09,
-0.0682302,
-0.130225,
-0.185851,
-0.23438,
-0.273185,
-0.299067,
-0.314818,
-0.325236,
-0.332909,
-0.339015,
-0.344135,
-0.348586,
-0.352557,
-0.356169,
-0.359503,
-0.362617,
-0.36555,
-0.368335,
-0.370996,
-0.37355,
-0.378399,
-0.382988,
-0.397956,
-2.70487
],
[
3.13583,
0.727406,
0.306737,
0.156585,
6.9802e-09,
-0.0777655,
-0.150776,
-0.218956,
-0.282135,
-0.339783,
-0.390205,
-0.429711,
-0.456499,
-0.474144,
-0.486627,
-0.496174,
-0.503919,
-0.510473,
-0.516191,
-0.521293,
-0.525926,
-0.53019,
-0.534158,
-0.537882,
-0.541403,
-0.547953,
-0.553998,
-0.570819,
-2.8775
],
[
3.151,
0.748166,
0.311668,
0.159639,
3.33355e-08,
-0.0805181,
-0.158024,
-0.232478,
-0.303834,
-0.372038,
-0.436996,
-0.498514,
-0.556109,
-0.608547,
-0.65327,
-0.687769,
-0.712764,
-0.731136,
-0.745309,
-0.756775,
-0.766409,
-0.774741,
-0.782111,
-0.788745,
-0.794798,
-0.805586,
-0.815078,
-0.839006,
-3.13958
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000976,
"miller_cap_rise": 0.000666,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00482686875
],
"index_3": [
0.01810191,
0.02765796,
0.03745748,
0.04788348,
0.06244543
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.01
],
"index_2": [
0.01448060625
],
"index_3": [
0.02438283,
0.0463205,
0.06900275,
0.09336619,
0.126706
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00482686875
],
"index_3": [
0.08241562,
0.09570986,
0.1057576,
0.1162147,
0.1309376
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.01448060625
],
"index_3": [
0.09219667,
0.1151476,
0.1378656,
0.1622311,
0.1957576
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.03967816,
0.06437555,
0.09071875,
0.1208338,
0.1808391
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.01
],
"index_2": [
0.003
],
"index_3": [
0.04809189,
0.08780208,
0.1299035,
0.1773069,
0.261856
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.1030836,
0.1267814,
0.15308,
0.1832939,
0.243117
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.003
],
"index_3": [
0.1115576,
0.1508325,
0.1929981,
0.2408018,
0.3251352
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
1.00859375
],
"index_2": [
1.39716081606303
],
"index_3": [
0.00482686875
],
"index_4": [
0.6993407,
0.7511041,
0.9348834,
1.128703,
1.236552
],
"values": [
1.17988855,
0.92782168,
0.7597771,
0.92782168,
1.17988855
]
},
{
"index_1": [
1.12890625
],
"index_2": [
0.615989058854208
],
"index_3": [
0.00482686875
],
"index_4": [
0.3114786,
0.3417796,
0.4414593,
0.557069,
0.6227666
],
"values": [
1.16337145,
0.90139432,
0.7267429,
0.90139432,
1.16337145
]
},
{
"index_1": [
1.24140625
],
"index_2": [
0.361184581923191
],
"index_3": [
0.00482686875
],
"index_4": [
0.184448,
0.2062092,
0.2785423,
0.3540386,
0.4087532
],
"values": [
1.15516405,
0.88826248,
0.7103281,
0.88826248,
1.15516405
]
},
{
"index_1": [
1.00859375
],
"index_2": [
0.698580408031516
],
"index_3": [
0.00482686875
],
"index_4": [
0.3565146,
0.384675,
0.496905,
0.5857117,
0.6538067
],
"values": [
1.3907005,
1.2651208,
1.181401,
1.2651208,
1.3907005
]
},
{
"index_1": [
1.24140625
],
"index_2": [
0.180592290961596
],
"index_3": [
0.00482686875
],
"index_4": [
0.09650618,
0.1084854,
0.1472033,
0.1898035,
0.2348692
],
"values": [
1.3898031,
1.26368496,
1.1796062,
1.26368496,
1.3898031
]
},
{
"index_1": [
1.12890625
],
"index_2": [
0.615989058854208
],
"index_3": [
0.01448060625
],
"index_4": [
0.3144286,
0.346056,
0.444973,
0.5805529,
0.6815776
],
"values": [
1.40556645,
1.28890632,
1.2111329,
1.28890632,
1.40556645
]
},
{
"index_1": [
1.24140625
],
"index_2": [
0.361184581923191
],
"index_3": [
0.01448060625
],
"index_4": [
0.1865422,
0.2089992,
0.2799955,
0.3746433,
0.46848
],
"values": [
1.40366155,
1.28585848,
1.2073231,
1.28585848,
1.40366155
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.05234375
],
"index_2": [
2
],
"index_3": [
0.001
],
"index_4": [
1.008015,
1.07817,
1.212731,
1.309165,
1.351858
],
"values": [
0.22292605,
0.35668168,
0.4458521,
0.35668168,
0.22292605
]
},
{
"index_1": [
1.16953125
],
"index_2": [
1.75985427581131
],
"index_3": [
0.001
],
"index_4": [
0.8773871,
0.9545885,
1.126399,
1.232434,
1.277018
],
"values": [
0.4265774,
0.68252384,
0.8531548,
0.68252384,
0.4265774
]
},
{
"index_1": [
1.27265625
],
"index_2": [
1.06583928875111
],
"index_3": [
0.001
],
"index_4": [
0.5352114,
0.5922622,
0.7129335,
0.7888736,
0.8191246
],
"values": [
0.4483611,
0.71737776,
0.8967222,
0.71737776,
0.4483611
]
},
{
"index_1": [
1.05234375
],
"index_2": [
1.89624034668069
],
"index_3": [
0.001
],
"index_4": [
0.9568091,
1.023835,
1.154397,
1.242861,
1.283663
],
"values": [
0.21358745,
0.34173992,
0.4271749,
0.34173992,
0.21358745
]
},
{
"index_1": [
1.27265625
],
"index_2": [
0.532919644375557
],
"index_3": [
0.001
],
"index_4": [
0.2777329,
0.3094448,
0.3667177,
0.4001978,
0.416269
],
"values": [
0.2377286,
0.38036576,
0.4754572,
0.38036576,
0.2377286
]
},
{
"index_1": [
1.16953125
],
"index_2": [
1.75985427581131
],
"index_3": [
0.003
],
"index_4": [
0.884087,
0.9654801,
1.143045,
1.243848,
1.289436
],
"values": [
0.2854243,
0.45667888,
0.5708486,
0.45667888,
0.2854243
]
},
{
"index_1": [
1.27265625
],
"index_2": [
1.06583928875111
],
"index_3": [
0.003
],
"index_4": [
0.539876,
0.5995544,
0.7311641,
0.7956105,
0.8270052
],
"values": [
0.29626465,
0.47402344,
0.5925293,
0.47402344,
0.29626465
]
}
]
},
"stage_type": "both"
},
"cell_fall delay_template13x12": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00948,
0.01138,
0.01366,
0.01639,
0.01967,
0.0236,
0.02832,
0.03399,
0.04079,
0.04895,
0.05874
],
"values": [
[
0.01587,
0.04739,
0.05356,
0.06101,
0.06981,
0.08055,
0.09328,
0.10871,
0.12708,
0.14922,
0.17583,
0.20765
],
[
0.01895,
0.05067,
0.05684,
0.06431,
0.07311,
0.08377,
0.09656,
0.11192,
0.13042,
0.15247,
0.17914,
0.211
],
[
0.02264,
0.05452,
0.06073,
0.06816,
0.07702,
0.08773,
0.10057,
0.11591,
0.1343,
0.15642,
0.18299,
0.21494
],
[
0.02739,
0.0603,
0.06652,
0.07397,
0.08279,
0.0936,
0.1064,
0.12176,
0.14014,
0.16234,
0.18882,
0.22059
],
[
0.03318,
0.06898,
0.07522,
0.08266,
0.09152,
0.10231,
0.11514,
0.13044,
0.14891,
0.1711,
0.19757,
0.22922
],
[
0.04026,
0.08201,
0.08827,
0.09575,
0.10465,
0.11529,
0.12814,
0.14355,
0.16201,
0.18422,
0.21076,
0.24264
],
[
0.04891,
0.10105,
0.10786,
0.11538,
0.12433,
0.13501,
0.14766,
0.16307,
0.18169,
0.2037,
0.23036,
0.26206
],
[
0.05958,
0.12518,
0.13372,
0.14301,
0.15323,
0.16456,
0.17738,
0.19269,
0.21109,
0.23288,
0.2597,
0.29168
],
[
0.07258,
0.15526,
0.16578,
0.17753,
0.19035,
0.20436,
0.21972,
0.23662,
0.25524,
0.27762,
0.30414,
0.33592
],
[
0.08816,
0.19266,
0.20574,
0.22066,
0.23673,
0.25434,
0.27361,
0.29452,
0.31753,
0.34286,
0.37067,
0.4024
],
[
0.10635,
0.23905,
0.25547,
0.27429,
0.29446,
0.31655,
0.34064,
0.36698,
0.3958,
0.42709,
0.46152,
0.49912
],
[
0.12649,
0.29687,
0.31787,
0.34077,
0.36587,
0.39337,
0.42317,
0.45647,
0.49277,
0.53207,
0.57497,
0.62187
],
[
0.1468,
0.3666,
0.3941,
0.4234,
0.4553,
0.4902,
0.5282,
0.5697,
0.615,
0.6642,
0.7179,
0.7763
]
]
},
"cell_rise delay_template13x12": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00948,
0.01138,
0.01366,
0.01639,
0.01967,
0.0236,
0.02832,
0.03399,
0.04079,
0.04895,
0.05874
],
"values": [
[
0.05834,
0.24376,
0.27992,
0.32339,
0.37606,
0.43839,
0.51269,
0.60159,
0.71009,
0.83983,
0.99527,
1.18077
],
[
0.05994,
0.2463,
0.28245,
0.32589,
0.37852,
0.44109,
0.51557,
0.60464,
0.71261,
0.84258,
0.99804,
1.18334
],
[
0.06278,
0.24947,
0.28584,
0.32928,
0.38107,
0.44398,
0.51937,
0.60926,
0.71664,
0.84486,
1.00032,
1.18742
],
[
0.06792,
0.25405,
0.29083,
0.33471,
0.38677,
0.44888,
0.52409,
0.61437,
0.72266,
0.85186,
1.00618,
1.19058
],
[
0.07639,
0.26197,
0.2988,
0.34271,
0.39483,
0.45725,
0.53193,
0.62244,
0.73094,
0.86057,
1.01511,
1.19971
],
[
0.08982,
0.27456,
0.31138,
0.35527,
0.40749,
0.4699,
0.54504,
0.63579,
0.74381,
0.87246,
1.02722,
1.21472
],
[
0.11096,
0.29415,
0.33072,
0.37467,
0.42677,
0.4901,
0.56502,
0.65467,
0.76256,
0.89283,
1.04893,
1.23503
],
[
0.13878,
0.32434,
0.36108,
0.40443,
0.457,
0.51962,
0.59494,
0.6852,
0.79313,
0.92186,
1.07766,
1.26526
],
[
0.17461,
0.37057,
0.40717,
0.45046,
0.50258,
0.56491,
0.64032,
0.73028,
0.83755,
0.96805,
1.12335,
1.31025
],
[
0.22069,
0.44185,
0.47759,
0.52033,
0.57265,
0.63469,
0.70989,
0.79969,
0.90809,
1.03759,
1.19179,
1.37819
],
[
0.27997,
0.54862,
0.58392,
0.62692,
0.67792,
0.74022,
0.81462,
0.90422,
1.01192,
1.14122,
1.29592,
1.48262
],
[
0.35627,
0.69567,
0.73907,
0.78747,
0.84047,
0.90197,
0.97597,
1.06417,
1.17147,
1.30087,
1.45467,
1.64137
],
[
0.455,
0.8857,
0.9402,
1.0002,
1.0657,
1.1377,
1.2175,
1.3066,
1.4129,
1.5408,
1.6943,
1.8796
]
]
},
"fall_transition delay_template13x12": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00948,
0.01138,
0.01366,
0.01639,
0.01967,
0.0236,
0.02832,
0.03399,
0.04079,
0.04895,
0.05874
],
"values": [
[
0.00796,
0.04826,
0.05634,
0.06602,
0.07761,
0.09157,
0.10834,
0.12833,
0.15252,
0.18135,
0.21593,
0.25774
],
[
0.00801,
0.04827,
0.05634,
0.06602,
0.07761,
0.09157,
0.10832,
0.12839,
0.15241,
0.18146,
0.21605,
0.25761
],
[
0.00877,
0.04824,
0.05635,
0.06597,
0.07763,
0.09161,
0.10823,
0.12841,
0.15249,
0.18145,
0.21611,
0.25761
],
[
0.0109,
0.04826,
0.05636,
0.06602,
0.07763,
0.09159,
0.10821,
0.1284,
0.15245,
0.18129,
0.21617,
0.25779
],
[
0.01379,
0.04847,
0.05635,
0.066,
0.07761,
0.09153,
0.1083,
0.12838,
0.15242,
0.18122,
0.21617,
0.25778
],
[
0.01761,
0.05094,
0.05811,
0.06701,
0.07802,
0.09159,
0.10825,
0.12837,
0.15242,
0.18134,
0.21594,
0.25767
],
[
0.02279,
0.05744,
0.06372,
0.07172,
0.08165,
0.0941,
0.10962,
0.12863,
0.15244,
0.18129,
0.21603,
0.25772
],
[
0.02971,
0.07061,
0.07612,
0.08275,
0.09138,
0.1024,
0.11622,
0.13361,
0.15555,
0.18261,
0.21621,
0.25756
],
[
0.03914,
0.08866,
0.0954,
0.10298,
0.11116,
0.12056,
0.13234,
0.14767,
0.16719,
0.19137,
0.22217,
0.26071
],
[
0.05217,
0.11204,
0.12045,
0.12921,
0.13923,
0.15057,
0.16295,
0.17667,
0.19293,
0.21411,
0.24128,
0.27578
],
[
0.07045,
0.143,
0.153,
0.1636,
0.17585,
0.18913,
0.20408,
0.2209,
0.23893,
0.25943,
0.28224,
0.31158
],
[
0.09645,
0.1832,
0.195,
0.2088,
0.224,
0.2401,
0.2587,
0.2784,
0.3004,
0.3248,
0.3523,
0.382
],
[
0.1337,
0.2389,
0.2522,
0.2683,
0.2858,
0.3056,
0.3276,
0.3519,
0.3788,
0.4084,
0.441,
0.4773
]
]
},
"related_pin": "B",
"rise_transition delay_template13x12": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00948,
0.01138,
0.01366,
0.01639,
0.01967,
0.0236,
0.02832,
0.03399,
0.04079,
0.04895,
0.05874
],
"values": [
[
0.05043,
0.2926,
0.34112,
0.39937,
0.46889,
0.55215,
0.65162,
0.7719,
0.91748,
1.09054,
1.29828,
1.5472
],
[
0.05042,
0.29258,
0.34105,
0.39928,
0.469,
0.55222,
0.65228,
0.77196,
0.91752,
1.09061,
1.29818,
1.54716
],
[
0.0503,
0.29263,
0.34103,
0.39914,
0.46885,
0.55254,
0.65281,
0.77253,
0.91696,
1.08929,
1.29669,
1.54805
],
[
0.0503,
0.29261,
0.3412,
0.39913,
0.46871,
0.55246,
0.65269,
0.77292,
0.91723,
1.08998,
1.29684,
1.54597
],
[
0.05007,
0.29268,
0.34117,
0.39913,
0.46867,
0.55241,
0.6527,
0.77304,
0.91732,
1.0901,
1.29809,
1.54598
],
[
0.05051,
0.29276,
0.34117,
0.39925,
0.46876,
0.55242,
0.65275,
0.77298,
0.91682,
1.08924,
1.29678,
1.54825
],
[
0.05566,
0.29243,
0.3408,
0.39911,
0.46898,
0.55237,
0.65166,
0.772,
0.91623,
1.09084,
1.29852,
1.54709
],
[
0.06806,
0.29284,
0.34096,
0.39942,
0.46922,
0.55205,
0.65261,
0.77276,
0.91602,
1.08945,
1.29695,
1.5483
],
[
0.08524,
0.29276,
0.34098,
0.39949,
0.46862,
0.55265,
0.6531,
0.77267,
0.91651,
1.09095,
1.29661,
1.54735
],
[
0.10933,
0.30238,
0.34642,
0.40143,
0.46875,
0.55186,
0.65286,
0.77174,
0.91803,
1.09093,
1.29739,
1.5465
],
[
0.14331,
0.33178,
0.37093,
0.42035,
0.482,
0.5594,
0.6542,
0.7719,
0.9163,
1.0891,
1.297,
1.547
],
[
0.1905,
0.3949,
0.4274,
0.4693,
0.5233,
0.5921,
0.6789,
0.7872,
0.9238,
1.0908,
1.297,
1.5482
],
[
0.2547,
0.4976,
0.5317,
0.5701,
0.615,
0.673,
0.7475,
0.8431,
0.9652,
1.1203,
1.3125,
1.5516
]
]
},
"timing_sense": "negative_unate"
}
]
}
}