blob: 180d69c8eb921e8b4c1a21c90b984b31d03d97d2 [file] [log] [blame]
{
"description": "Positive edge triggered D flip-flop (Q output UDP).",
"file_prefix": "sky130_fd_sc_hs__udp_dff_p_pp_pg",
"library": "sky130_fd_sc_hs",
"name": "udp_dff$P_pp$PG",
"parameters": [],
"ports": [
[
"signal",
"Q",
"output",
""
],
[
"signal",
"D",
"input",
""
],
[
"signal",
"CLK",
"input",
""
],
[
"power",
"VPWR",
"input",
"supply1"
],
[
"power",
"VGND",
"input",
"supply0"
]
],
"type": "primitive",
"verilog_name": "sky130_fd_sc_hs__udp_dff$P_pp$PG"
}