blob: 0cb67e69417687445a46d77e811b34a5439815ca [file] [log] [blame]
{
"area": 7.992,
"cell_footprint": "sky130_fd_sc_hs__einvp",
"cell_leakage_power": 0.04709,
"leakage_power": [
{
"value": 0.00131,
"when": "!A&!TE"
},
{
"value": 0.03643,
"when": "!A&TE"
},
{
"value": 0.00142,
"when": "A&!TE"
},
{
"value": 0.1492,
"when": "A&TE"
}
],
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,A": {
"capacitance": 0.00287,
"clock": "false",
"direction": "input",
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.00192,
0.00401,
0.00224,
0.00265,
0.00244,
0.00262,
0.00261,
0.00269,
0.00269,
0.00284,
0.00285,
0.00308,
0.00308
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.0042,
0.00331,
0.00155,
0.00301,
0.0031,
0.00304,
0.00307,
0.00307,
0.00301,
0.00328,
0.00332,
0.00355,
0.00355
]
}
},
"max_transition": 1.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR"
},
"pin,TE": {
"capacitance": 0.00259,
"ccsn_first_stage": {
"dc_current,ccsn_dc": {
"index_1": [
-1.8,
-0.9,
-0.36,
-0.18,
0,
0.09,
0.18,
0.27,
0.36,
0.45,
0.54,
0.63,
0.72,
0.81,
0.9,
0.99,
1.08,
1.17,
1.26,
1.35,
1.44,
1.53,
1.62,
1.71,
1.8,
1.98,
2.16,
2.7,
3.6
],
"index_2": [
-1.8,
-0.9,
-0.36,
-0.18,
0,
0.09,
0.18,
0.27,
0.36,
0.45,
0.54,
0.63,
0.72,
0.81,
0.9,
0.99,
1.08,
1.17,
1.26,
1.35,
1.44,
1.53,
1.62,
1.71,
1.8,
1.98,
2.16,
2.7,
3.6
],
"values": [
[
2.55257,
0.302709,
0.196079,
0.187344,
0.177247,
0.171671,
0.16574,
0.159456,
0.152818,
0.145828,
0.138487,
0.130796,
0.122759,
0.114375,
0.105648,
0.0965801,
0.0871726,
0.0774283,
0.0673495,
0.0569389,
0.046199,
0.0351323,
0.0237418,
0.01203,
-1.6075e-09,
-0.0235911,
-0.0459844,
-0.137949,
-1.6958
],
[
2.52715,
0.273613,
0.16745,
0.16255,
0.157014,
0.153921,
0.150545,
0.146818,
0.142665,
0.138017,
0.132829,
0.127076,
0.120747,
0.113839,
0.106351,
0.0982831,
0.0896371,
0.0804149,
0.0706194,
0.0602535,
0.0493207,
0.0378247,
0.0257697,
0.0131599,
-1.61039e-09,
-0.0262777,
-0.0516422,
-0.153933,
-1.69866
],
[
2.49723,
0.237162,
0.125073,
0.12193,
0.118518,
0.11668,
0.114733,
0.112653,
0.110409,
0.107958,
0.105241,
0.102174,
0.0986446,
0.0945278,
0.0897264,
0.0841904,
0.0778991,
0.0708441,
0.0630219,
0.0544317,
0.0450737,
0.0349491,
0.0240601,
0.0124093,
-1.60882e-09,
-0.0256691,
-0.0514921,
-0.157251,
-1.69974
],
[
2.48679,
0.22408,
0.106895,
0.104269,
0.10145,
0.0999477,
0.0983694,
0.0966999,
0.0949198,
0.0930031,
0.0909138,
0.0886009,
0.0859895,
0.0829693,
0.0793941,
0.0751248,
0.0700796,
0.0642243,
0.0575454,
0.0500368,
0.0416958,
0.0325212,
0.022513,
0.011672,
-1.60155e-09,
-0.0246504,
-0.0500739,
-0.156329,
-1.69972
],
[
2.47834,
0.217906,
0.0874112,
0.0852641,
0.0829907,
0.0817916,
0.0805416,
0.0792317,
0.0778501,
0.0763815,
0.0748054,
0.0730933,
0.0712044,
0.0690768,
0.0666129,
0.0636597,
0.0600337,
0.055607,
0.0503246,
0.0441653,
0.0371199,
0.0291832,
0.020352,
0.0106246,
-2.33589e-09,
-0.0230788,
-0.0476908,
-0.153887,
-1.69948
],
[
2.47455,
0.219155,
0.0774569,
0.0755027,
0.0734845,
0.0724254,
0.0713257,
0.0701784,
0.0689747,
0.067703,
0.066348,
0.0648891,
0.063297,
0.0615281,
0.0595143,
0.0571402,
0.0542225,
0.0505674,
0.046065,
0.0406734,
0.0343759,
0.0271643,
0.0190333,
0.00997936,
-8.83274e-09,
-0.0220661,
-0.0460916,
-0.151998,
-1.69926
],
[
2.47081,
0.222617,
0.0677049,
0.065736,
0.0639595,
0.0630328,
0.0620744,
0.061079,
0.0600399,
0.0589488,
0.0577944,
0.0565619,
0.0552306,
0.0537708,
0.0521367,
0.0502513,
0.0479745,
0.0450884,
0.0414029,
0.0368309,
0.0313411,
0.02492,
0.0175595,
0.00925426,
-6.86408e-08,
-0.0208973,
-0.0442034,
-0.149617,
-1.69897
],
[
2.46709,
0.227267,
0.0591977,
0.0561196,
0.0545601,
0.053758,
0.0529319,
0.0520778,
0.0511907,
0.0502647,
0.0492918,
0.0482615,
0.0471596,
0.0459661,
0.0446511,
0.0431663,
0.0414228,
0.0392446,
0.0363834,
0.0326693,
0.0280377,
0.022465,
0.0159393,
0.00845286,
-6.21495e-07,
-0.0195736,
-0.0420204,
-0.146717,
-1.6986
],
[
2.46344,
0.232386,
0.0545432,
0.0468875,
0.0454432,
0.0447573,
0.0440543,
0.0433308,
0.0425833,
0.0418076,
0.0409982,
0.0401479,
0.0392472,
0.0382828,
0.0372359,
0.036077,
0.0347547,
0.0331621,
0.0310761,
0.0282335,
0.0244968,
0.0198198,
0.0141839,
0.0075782,
-5.57692e-06,
-0.0181053,
-0.0395485,
-0.143289,
-1.69817
],
[
2.45997,
0.237705,
0.0550542,
0.0386912,
0.0367733,
0.0361902,
0.0355999,
0.0349955,
0.0343745,
0.0337338,
0.0330699,
0.0323779,
0.0316517,
0.030883,
0.0300598,
0.0291649,
0.0281697,
0.027019,
0.0255772,
0.023569,
0.020741,
0.016991,
0.0122859,
0.00661027,
-4.66405e-05,
-0.0165389,
-0.0368385,
-0.139388,
-1.69784
],
[
2.45682,
0.243263,
0.0588039,
0.0335022,
0.0287133,
0.0281819,
0.0276857,
0.0271823,
0.0266683,
0.0261414,
0.0255991,
0.0250382,
0.0244549,
0.023844,
0.0231984,
0.0225082,
0.021758,
0.0209207,
0.0199346,
0.0186234,
0.0166663,
0.0138431,
0.0100825,
0.00535995,
-0.000338469,
-0.0151334,
-0.0341837,
-0.135421,
-1.69847
],
[
2.4541,
0.249243,
0.0640618,
0.0327176,
0.0214114,
0.0206173,
0.0201364,
0.0196745,
0.0192107,
0.0187399,
0.0182592,
0.0177661,
0.0172577,
0.0167309,
0.0161816,
0.015604,
0.0149901,
0.0143269,
0.0135892,
0.0127055,
0.011447,
0.00946863,
0.00659695,
0.00278357,
-0.00199103,
-0.0151238,
-0.0329379,
-0.133105,
-1.70302
],
[
2.45192,
0.255861,
0.070294,
0.0343956,
0.0150074,
0.0125379,
0.0115633,
0.010898,
0.0102946,
0.00971056,
0.00913137,
0.00855009,
0.00796229,
0.00736457,
0.0067537,
0.00612617,
0.00547757,
0.00480172,
0.00408841,
0.00331465,
0.00239616,
0.00104692,
-0.00107371,
-0.00409139,
-0.0080394,
-0.019641,
-0.0363002,
-0.135595,
-1.71472
],
[
2.45039,
0.263315,
0.0774315,
0.0369971,
0.00967047,
0.00346051,
0.000264869,
-0.00131101,
-0.00241048,
-0.00334596,
-0.00420565,
-0.0050246,
-0.00582022,
-0.00660258,
-0.0073783,
-0.00815228,
-0.00892868,
-0.00971166,
-0.0105062,
-0.0113202,
-0.0121742,
-0.0131672,
-0.014638,
-0.0168966,
-0.0200274,
-0.0300142,
-0.0453545,
-0.142988,
-1.73143
],
[
2.44956,
0.271759,
0.0854593,
0.0402894,
0.00554399,
-0.00510288,
-0.0119293,
-0.015572,
-0.0176296,
-0.0191233,
-0.0203701,
-0.0214838,
-0.0225162,
-0.0234948,
-0.0244362,
-0.0253507,
-0.026246,
-0.0271277,
-0.0280004,
-0.0288687,
-0.029739,
-0.0306291,
-0.031655,
-0.033196,
-0.0355039,
-0.0437239,
-0.057502,
-0.152494,
-1.74949
],
[
2.4495,
0.281301,
0.0943155,
0.0443487,
0.00268596,
-0.0121996,
-0.0228574,
-0.0294104,
-0.0329418,
-0.0352028,
-0.0369328,
-0.03839,
-0.0396845,
-0.0408722,
-0.0419851,
-0.0430429,
-0.0440589,
-0.0450424,
-0.0460002,
-0.0469377,
-0.0478595,
-0.0487707,
-0.0496875,
-0.0507548,
-0.0523584,
-0.0587956,
-0.0708661,
-0.162563,
-1.7675
],
[
2.45021,
0.291965,
0.103842,
0.0491655,
0.00104011,
-0.017748,
-0.0320799,
-0.0418739,
-0.0473794,
-0.0506395,
-0.0529651,
-0.0548309,
-0.0564311,
-0.0578606,
-0.059172,
-0.0603973,
-0.0615573,
-0.0626661,
-0.0637342,
-0.064769,
-0.0657765,
-0.0667614,
-0.0677287,
-0.0686992,
-0.0698802,
-0.0746647,
-0.0849373,
-0.172875,
-1.7852
],
[
2.45162,
0.303581,
0.113677,
0.0544828,
0.000326129,
-0.022071,
-0.0398881,
-0.0529903,
-0.0609106,
-0.0654351,
-0.0684874,
-0.0708396,
-0.0727998,
-0.0745136,
-0.0760594,
-0.0774841,
-0.0788178,
-0.0800807,
-0.0812872,
-0.0824479,
-0.0835707,
-0.0846617,
-0.0857261,
-0.08677,
-0.0878523,
-0.0913113,
-0.0996956,
-0.183488,
-1.80257
],
[
2.45348,
0.315739,
0.123281,
0.0598439,
9.39516e-05,
-0.0256473,
-0.0467583,
-0.0631115,
-0.073787,
-0.0798718,
-0.083796,
-0.0867157,
-0.0890888,
-0.0911255,
-0.0929364,
-0.0945864,
-0.0961163,
-0.0975534,
-0.098917,
-0.100221,
-0.101476,
-0.102689,
-0.103868,
-0.105018,
-0.106156,
-0.108874,
-0.115293,
-0.194521,
-1.81958
],
[
2.45549,
0.328039,
0.132248,
0.0649083,
2.73792e-05,
-0.0287939,
-0.0529915,
-0.0724649,
-0.0861063,
-0.0940701,
-0.0990278,
-0.102598,
-0.105432,
-0.107824,
-0.109923,
-0.111815,
-0.113555,
-0.115177,
-0.116707,
-0.118163,
-0.119556,
-0.120899,
-0.122197,
-0.123459,
-0.124694,
-0.127235,
-0.131897,
-0.206071,
-1.83616
],
[
2.45753,
0.340258,
0.140412,
0.0695439,
8.03209e-06,
-0.0316246,
-0.0586855,
-0.0811036,
-0.0977859,
-0.107959,
-0.11414,
-0.118453,
-0.121801,
-0.124579,
-0.126987,
-0.129136,
-0.131097,
-0.132912,
-0.134615,
-0.136226,
-0.137763,
-0.139237,
-0.140658,
-0.142034,
-0.143374,
-0.146006,
-0.14952,
-0.218196,
-1.85227
],
[
2.45954,
0.352277,
0.147735,
0.0737186,
2.25089e-06,
-0.0341723,
-0.0638629,
-0.0890237,
-0.108698,
-0.121391,
-0.129023,
-0.134193,
-0.138114,
-0.141314,
-0.144054,
-0.146476,
-0.148668,
-0.150686,
-0.152567,
-0.154339,
-0.156022,
-0.15763,
-0.159176,
-0.160668,
-0.162116,
-0.164918,
-0.167964,
-0.230924,
-1.86787
],
[
2.4615,
0.364008,
0.154232,
0.0774348,
5.45497e-07,
-0.0364502,
-0.0685325,
-0.0962196,
-0.11874,
-0.134199,
-0.143554,
-0.149719,
-0.154286,
-0.157951,
-0.16105,
-0.163763,
-0.1662,
-0.168428,
-0.170495,
-0.172433,
-0.174266,
-0.176012,
-0.177685,
-0.179295,
-0.180853,
-0.183848,
-0.186847,
-0.244261,
-1.88293
],
[
2.46341,
0.375362,
0.159939,
0.080709,
1.01464e-07,
-0.0384695,
-0.0727064,
-0.102697,
-0.127856,
-0.146217,
-0.1576,
-0.164934,
-0.170237,
-0.174419,
-0.177908,
-0.180934,
-0.18363,
-0.18608,
-0.188341,
-0.190451,
-0.19244,
-0.194327,
-0.19613,
-0.197861,
-0.199531,
-0.202727,
-0.20584,
-0.258198,
-1.89745
],
[
2.46525,
0.386249,
0.164904,
0.0835643,
1.60067e-08,
-0.040243,
-0.0764033,
-0.108476,
-0.136034,
-0.157294,
-0.171024,
-0.17974,
-0.185891,
-0.190652,
-0.19457,
-0.197934,
-0.200908,
-0.203593,
-0.206058,
-0.208349,
-0.210498,
-0.212532,
-0.214469,
-0.216324,
-0.218109,
-0.221511,
-0.224785,
-0.272711,
-1.91142
],
[
2.46872,
0.406208,
0.17282,
0.0881297,
4.3717e-09,
-0.0431131,
-0.0824658,
-0.118061,
-0.149688,
-0.176232,
-0.195411,
-0.207708,
-0.216009,
-0.222178,
-0.227107,
-0.231245,
-0.234842,
-0.238047,
-0.240956,
-0.243635,
-0.246131,
-0.248475,
-0.250695,
-0.25281,
-0.254835,
-0.258669,
-0.262303,
-0.303315,
-1.93777
],
[
2.47185,
0.422948,
0.178416,
0.0913663,
6.57613e-09,
-0.0451923,
-0.0869526,
-0.125284,
-0.160088,
-0.190805,
-0.215573,
-0.232689,
-0.244002,
-0.252061,
-0.258281,
-0.263373,
-0.267714,
-0.271524,
-0.274943,
-0.27806,
-0.28094,
-0.283627,
-0.286156,
-0.288553,
-0.290836,
-0.29513,
-0.299155,
-0.335643,
-1.96218
],
[
2.4783,
0.446688,
0.185226,
0.0953465,
2.33228e-08,
-0.0479933,
-0.0934311,
-0.136309,
-0.176614,
-0.214293,
-0.249147,
-0.280472,
-0.306488,
-0.325704,
-0.339325,
-0.349424,
-0.357372,
-0.363934,
-0.369547,
-0.374476,
-0.378892,
-0.38291,
-0.386612,
-0.390055,
-0.393285,
-0.399231,
-0.40465,
-0.435406,
-2.02634
],
[
2.47776,
0.436064,
0.179663,
0.0923708,
1.03657e-07,
-0.0468648,
-0.0921336,
-0.135799,
-0.177856,
-0.218297,
-0.257115,
-0.294296,
-0.329811,
-0.363586,
-0.395416,
-0.424757,
-0.45047,
-0.47141,
-0.487741,
-0.500599,
-0.511055,
-0.519843,
-0.527433,
-0.534132,
-0.540146,
-0.55066,
-0.559722,
-0.590572,
-2.12167
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000461,
"miller_cap_rise": 0.000421,
"output_voltage_fall": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.01459777,
0.0205251,
0.02714459,
0.03497804,
0.04712597
],
"values": [
1.62,
1.26,
0.9,
0.54,
0.18
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.06540282,
0.07809488,
0.08816027,
0.09758386,
0.1098236
],
"values": [
1.62,
1.26,
0.9,
0.54,
0.18
]
}
]
},
"output_voltage_rise": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.02619049,
0.04472223,
0.06467247,
0.08802933,
0.1262861
],
"values": [
0.18,
0.54,
0.9,
1.26,
1.62
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.08953323,
0.1103303,
0.1303213,
0.1535873,
0.1918823
],
"values": [
0.18,
0.54,
0.9,
1.26,
1.62
]
}
]
},
"propagated_noise_high": {
"vector,ccsn_pnlh": [
{
"index_1": [
0.94306640625
],
"index_2": [
0.680926547313643
],
"index_3": [
0.001
],
"index_4": [
0.3354994,
0.3612638,
0.4095514,
0.470691,
0.5152214
],
"values": [
1.40382825,
1.1661252,
1.0076565,
1.1661252,
1.40382825
]
},
{
"index_1": [
1.11181640625
],
"index_2": [
0.301947075704577
],
"index_3": [
0.001
],
"index_4": [
0.1512326,
0.1682771,
0.2031073,
0.2463619,
0.2782033
],
"values": [
1.3275524,
1.04408384,
0.8551048,
1.04408384,
1.3275524
]
},
{
"index_1": [
1.27353515625
],
"index_2": [
0.179498974975009
],
"index_3": [
0.001
],
"index_4": [
0.09149105,
0.104313,
0.1295418,
0.1639223,
0.1876384
],
"values": [
1.30284665,
1.00455464,
0.8056933,
1.00455464,
1.30284665
]
},
{
"index_1": [
0.94306640625
],
"index_2": [
0.340463273656821
],
"index_3": [
0.001
],
"index_4": [
0.1718869,
0.1852821,
0.208882,
0.2451237,
0.2771446
],
"values": [
1.58484915,
1.45575864,
1.3696983,
1.45575864,
1.58484915
]
},
{
"index_1": [
1.11181640625
],
"index_2": [
0.150973537852288
],
"index_3": [
0.001
],
"index_4": [
0.07921993,
0.08805342,
0.1052076,
0.1305561,
0.1539708
],
"values": [
1.5547661,
1.40762576,
1.3095322,
1.40762576,
1.5547661
]
},
{
"index_1": [
1.27353515625
],
"index_2": [
0.0897494874875044
],
"index_3": [
0.001
],
"index_4": [
0.04891123,
0.05541648,
0.06947387,
0.08987998,
0.1061046
],
"values": [
1.54803905,
1.39686248,
1.2960781,
1.39686248,
1.54803905
]
},
{
"index_1": [
1.11181640625
],
"index_2": [
0.452920613556865
],
"index_3": [
0.001
],
"index_4": [
0.2221182,
0.2477491,
0.2978287,
0.3597479,
0.4032126
],
"values": [
1.145678,
0.7530848,
0.491356,
0.7530848,
1.145678
]
}
]
},
"propagated_noise_low": {
"vector,ccsn_pnlh": [
{
"index_1": [
1.10302734375
],
"index_2": [
1.86390410882724
],
"index_3": [
0.001
],
"index_4": [
0.9142764,
0.9549451,
1.019311,
1.07516,
1.114294
],
"values": [
0.2033862,
0.32541792,
0.4067724,
0.32541792,
0.2033862
]
},
{
"index_1": [
1.26298828125
],
"index_2": [
0.930371517914108
],
"index_3": [
0.001
],
"index_4": [
0.46016,
0.4984377,
0.5536433,
0.6063485,
0.6375575
],
"values": [
0.3787676,
0.60602816,
0.7575352,
0.60602816,
0.3787676
]
},
{
"index_1": [
1.39833984375
],
"index_2": [
0.591920535905683
],
"index_3": [
0.001
],
"index_4": [
0.295627,
0.3279797,
0.3775414,
0.4172117,
0.4422685
],
"values": [
0.44331435,
0.70930296,
0.8866287,
0.70930296,
0.44331435
]
},
{
"index_1": [
1.10302734375
],
"index_2": [
0.931952054413621
],
"index_3": [
0.001
],
"index_4": [
0.4579076,
0.4808311,
0.5191632,
0.5501557,
0.576296
],
"values": [
0.1196418,
0.19142688,
0.2392836,
0.19142688,
0.1196418
]
},
{
"index_1": [
1.26298828125
],
"index_2": [
0.465185758957054
],
"index_3": [
0.001
],
"index_4": [
0.2338261,
0.253227,
0.2849616,
0.3101003,
0.3287055
],
"values": [
0.20173275,
0.3227724,
0.4034655,
0.3227724,
0.20173275
]
},
{
"index_1": [
1.39833984375
],
"index_2": [
0.295960267952842
],
"index_3": [
0.001
],
"index_4": [
0.1515728,
0.1678282,
0.192087,
0.2138542,
0.2284082
],
"values": [
0.229356,
0.3669696,
0.458712,
0.3669696,
0.229356
]
},
{
"index_1": [
1.26298828125
],
"index_2": [
1.39555727687116
],
"index_3": [
0.001
],
"index_4": [
0.6857621,
0.7414028,
0.821106,
0.8965777,
0.9416044
],
"values": [
0.531345,
0.850152,
1.06269,
0.850152,
0.531345
]
}
]
},
"stage_type": "both"
},
"clock": "false",
"direction": "input",
"max_transition": 1.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR"
},
"pin,Z": {
"capacitance": 0.00284,
"direction": "output",
"function": "(!A)",
"internal_power": [
{
"fall_power,pwr_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00284,
0.01227,
0.01415,
0.01641,
0.01912,
0.02237,
0.02627,
0.03095,
0.03657,
0.04332,
0.05141,
0.06112,
0.07278,
0.08677,
0.10356
],
"values": [
[
0.00258,
-0.01327,
-0.01633,
-0.02001,
-0.02441,
-0.02969,
-0.03602,
-0.04361,
-0.05272,
-0.06366,
-0.07677,
-0.0925,
-0.1114,
-0.13406,
-0.16126
],
[
0.00272,
-0.01324,
-0.01632,
-0.02,
-0.02443,
-0.02972,
-0.03603,
-0.04363,
-0.05277,
-0.06372,
-0.07683,
-0.09257,
-0.11146,
-0.13413,
-0.16133
],
[
0.00282,
-0.0132,
-0.01628,
-0.01997,
-0.02438,
-0.0297,
-0.03602,
-0.04363,
-0.05273,
-0.0637,
-0.07683,
-0.09256,
-0.11146,
-0.13413,
-0.16133
],
[
0.00293,
-0.01313,
-0.01624,
-0.01993,
-0.02434,
-0.02965,
-0.036,
-0.0436,
-0.05272,
-0.06368,
-0.07681,
-0.09255,
-0.11144,
-0.13411,
-0.16132
],
[
0.00298,
-0.01305,
-0.01615,
-0.01985,
-0.0243,
-0.0296,
-0.03594,
-0.04357,
-0.0527,
-0.06365,
-0.07678,
-0.09252,
-0.11143,
-0.13411,
-0.16132
],
[
0.00297,
-0.01296,
-0.01606,
-0.01977,
-0.02422,
-0.02952,
-0.03589,
-0.04351,
-0.05265,
-0.06361,
-0.07674,
-0.0925,
-0.11141,
-0.13409,
-0.1613
],
[
0.00279,
-0.01286,
-0.01598,
-0.01969,
-0.02414,
-0.02946,
-0.03582,
-0.04345,
-0.0526,
-0.06357,
-0.07671,
-0.09247,
-0.11138,
-0.13406,
-0.16128
],
[
0.00234,
-0.01285,
-0.01594,
-0.01966,
-0.0241,
-0.02942,
-0.03578,
-0.04341,
-0.05256,
-0.06354,
-0.07668,
-0.09244,
-0.11136,
-0.13405,
-0.16127
],
[
0.00149,
-0.013,
-0.01606,
-0.01975,
-0.02417,
-0.02948,
-0.03583,
-0.04344,
-0.05259,
-0.06356,
-0.0767,
-0.09246,
-0.11138,
-0.13406,
-0.16128
],
[
0.00191,
-0.01353,
-0.01651,
-0.02012,
-0.02448,
-0.02973,
-0.03604,
-0.04363,
-0.05274,
-0.06369,
-0.07681,
-0.09255,
-0.11145,
-0.13413,
-0.16134
],
[
0.00425,
-0.01388,
-0.01713,
-0.02097,
-0.02531,
-0.03044,
-0.03665,
-0.04415,
-0.05319,
-0.06408,
-0.07715,
-0.09285,
-0.11171,
-0.13438,
-0.16154
],
[
0.0079,
-0.01143,
-0.01488,
-0.01894,
-0.02371,
-0.02931,
-0.03593,
-0.04373,
-0.05306,
-0.06418,
-0.07748,
-0.09334,
-0.11235,
-0.13492,
-0.16207
],
[
0.01354,
-0.00708,
-0.01075,
-0.01505,
-0.0201,
-0.02601,
-0.03296,
-0.04113,
-0.05077,
-0.06217,
-0.07567,
-0.09175,
-0.11094,
-0.13387,
-0.1613
]
]
},
"related_pin": "A",
"rise_power,pwr_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00284,
0.01227,
0.01415,
0.01641,
0.01912,
0.02237,
0.02627,
0.03095,
0.03657,
0.04332,
0.05141,
0.06112,
0.07278,
0.08677,
0.10356
],
"values": [
[
0.00938,
0.02547,
0.02852,
0.03217,
0.03654,
0.04178,
0.04801,
0.05558,
0.06458,
0.07541,
0.0884,
0.10386,
0.12262,
0.14502,
0.17202
],
[
0.00925,
0.02538,
0.0284,
0.03205,
0.03646,
0.04171,
0.04799,
0.05547,
0.06453,
0.07536,
0.08834,
0.1041,
0.12261,
0.14496,
0.172
],
[
0.00922,
0.02521,
0.02826,
0.03197,
0.03642,
0.04159,
0.04792,
0.05545,
0.06451,
0.07525,
0.08831,
0.10386,
0.12258,
0.145,
0.17196
],
[
0.0092,
0.02507,
0.02813,
0.03189,
0.03621,
0.0415,
0.04779,
0.05544,
0.06439,
0.07527,
0.08821,
0.1038,
0.12255,
0.14494,
0.17164
],
[
0.00929,
0.02487,
0.02799,
0.0317,
0.03606,
0.04146,
0.04769,
0.05534,
0.06427,
0.07518,
0.08815,
0.10375,
0.12248,
0.14466,
0.17188
],
[
0.0095,
0.02481,
0.02789,
0.03162,
0.03592,
0.04125,
0.04759,
0.05512,
0.06421,
0.07505,
0.08823,
0.10368,
0.12237,
0.14482,
0.17184
],
[
0.00984,
0.02484,
0.02788,
0.0316,
0.03591,
0.04118,
0.04757,
0.05501,
0.06413,
0.07498,
0.08788,
0.10357,
0.12231,
0.14479,
0.17151
],
[
0.01043,
0.02506,
0.02807,
0.03169,
0.03603,
0.04125,
0.0475,
0.05505,
0.06419,
0.07491,
0.08792,
0.10352,
0.12205,
0.14469,
0.17175
],
[
0.01136,
0.02561,
0.02857,
0.03215,
0.0364,
0.04165,
0.0478,
0.05516,
0.06424,
0.07505,
0.08817,
0.10362,
0.12229,
0.14471,
0.17153
],
[
0.01286,
0.0267,
0.02955,
0.03313,
0.03726,
0.04232,
0.04847,
0.05594,
0.06473,
0.07548,
0.08852,
0.10384,
0.12245,
0.14485,
0.17206
],
[
0.01521,
0.02854,
0.03133,
0.03472,
0.03884,
0.04381,
0.04981,
0.05705,
0.06588,
0.0765,
0.08926,
0.10481,
0.12317,
0.14547,
0.17254
],
[
0.01894,
0.03151,
0.03427,
0.0376,
0.04164,
0.04649,
0.05236,
0.05943,
0.06811,
0.07864,
0.09108,
0.1065,
0.12489,
0.14702,
0.17373
],
[
0.02454,
0.03611,
0.03879,
0.04204,
0.04599,
0.05078,
0.05655,
0.06348,
0.07194,
0.08208,
0.0945,
0.10949,
0.12747,
0.14931,
0.17577
]
]
}
},
{
"fall_power,pwr_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00284,
0.01227,
0.01415,
0.01641,
0.01912,
0.02237,
0.02627,
0.03095,
0.03657,
0.04332,
0.05141,
0.06112,
0.07278,
0.08677,
0.10356
],
"values": [
[
0.00258,
-0.01327,
-0.01633,
-0.02001,
-0.02441,
-0.02969,
-0.03602,
-0.04361,
-0.05272,
-0.06366,
-0.07677,
-0.0925,
-0.1114,
-0.13406,
-0.16126
],
[
0.00272,
-0.01324,
-0.01632,
-0.02,
-0.02443,
-0.02972,
-0.03603,
-0.04363,
-0.05277,
-0.06372,
-0.07683,
-0.09257,
-0.11146,
-0.13413,
-0.16133
],
[
0.00282,
-0.0132,
-0.01628,
-0.01997,
-0.02438,
-0.0297,
-0.03602,
-0.04363,
-0.05273,
-0.0637,
-0.07683,
-0.09256,
-0.11146,
-0.13413,
-0.16133
],
[
0.00293,
-0.01313,
-0.01624,
-0.01993,
-0.02434,
-0.02965,
-0.036,
-0.0436,
-0.05272,
-0.06368,
-0.07681,
-0.09255,
-0.11144,
-0.13411,
-0.16132
],
[
0.00298,
-0.01305,
-0.01615,
-0.01985,
-0.0243,
-0.0296,
-0.03594,
-0.04357,
-0.0527,
-0.06365,
-0.07678,
-0.09252,
-0.11143,
-0.13411,
-0.16132
],
[
0.00297,
-0.01296,
-0.01606,
-0.01977,
-0.02422,
-0.02952,
-0.03589,
-0.04351,
-0.05265,
-0.06361,
-0.07674,
-0.0925,
-0.11141,
-0.13409,
-0.1613
],
[
0.00279,
-0.01286,
-0.01598,
-0.01969,
-0.02414,
-0.02946,
-0.03582,
-0.04345,
-0.0526,
-0.06357,
-0.07671,
-0.09247,
-0.11138,
-0.13406,
-0.16128
],
[
0.00234,
-0.01285,
-0.01594,
-0.01966,
-0.0241,
-0.02942,
-0.03578,
-0.04341,
-0.05256,
-0.06354,
-0.07668,
-0.09244,
-0.11136,
-0.13405,
-0.16127
],
[
0.00149,
-0.013,
-0.01606,
-0.01975,
-0.02417,
-0.02948,
-0.03583,
-0.04344,
-0.05259,
-0.06356,
-0.0767,
-0.09246,
-0.11138,
-0.13406,
-0.16128
],
[
0.00191,
-0.01353,
-0.01651,
-0.02012,
-0.02448,
-0.02973,
-0.03604,
-0.04363,
-0.05274,
-0.06369,
-0.07681,
-0.09255,
-0.11145,
-0.13413,
-0.16134
],
[
0.00425,
-0.01388,
-0.01713,
-0.02097,
-0.02531,
-0.03044,
-0.03665,
-0.04415,
-0.05319,
-0.06408,
-0.07715,
-0.09285,
-0.11171,
-0.13438,
-0.16154
],
[
0.0079,
-0.01143,
-0.01488,
-0.01894,
-0.02371,
-0.02931,
-0.03593,
-0.04373,
-0.05306,
-0.06418,
-0.07748,
-0.09334,
-0.11235,
-0.13492,
-0.16207
],
[
0.01354,
-0.00708,
-0.01075,
-0.01505,
-0.0201,
-0.02601,
-0.03296,
-0.04113,
-0.05077,
-0.06217,
-0.07567,
-0.09175,
-0.11094,
-0.13387,
-0.1613
]
]
},
"related_pin": "TE",
"rise_power,pwr_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00284,
0.01227,
0.01415,
0.01641,
0.01912,
0.02237,
0.02627,
0.03095,
0.03657,
0.04332,
0.05141,
0.06112,
0.07278,
0.08677,
0.10356
],
"values": [
[
0.00938,
0.02547,
0.02852,
0.03217,
0.03654,
0.04178,
0.04801,
0.05558,
0.06458,
0.07541,
0.0884,
0.10386,
0.12262,
0.14502,
0.17202
],
[
0.00925,
0.02538,
0.0284,
0.03205,
0.03646,
0.04171,
0.04799,
0.05547,
0.06453,
0.07536,
0.08834,
0.1041,
0.12261,
0.14496,
0.172
],
[
0.00922,
0.02521,
0.02826,
0.03197,
0.03642,
0.04159,
0.04792,
0.05545,
0.06451,
0.07525,
0.08831,
0.10386,
0.12258,
0.145,
0.17196
],
[
0.0092,
0.02507,
0.02813,
0.03189,
0.03621,
0.0415,
0.04779,
0.05544,
0.06439,
0.07527,
0.08821,
0.1038,
0.12255,
0.14494,
0.17164
],
[
0.00929,
0.02487,
0.02799,
0.0317,
0.03606,
0.04146,
0.04769,
0.05534,
0.06427,
0.07518,
0.08815,
0.10375,
0.12248,
0.14466,
0.17188
],
[
0.0095,
0.02481,
0.02789,
0.03162,
0.03592,
0.04125,
0.04759,
0.05512,
0.06421,
0.07505,
0.08823,
0.10368,
0.12237,
0.14482,
0.17184
],
[
0.00984,
0.02484,
0.02788,
0.0316,
0.03591,
0.04118,
0.04757,
0.05501,
0.06413,
0.07498,
0.08788,
0.10357,
0.12231,
0.14479,
0.17151
],
[
0.01043,
0.02506,
0.02807,
0.03169,
0.03603,
0.04125,
0.0475,
0.05505,
0.06419,
0.07491,
0.08792,
0.10352,
0.12205,
0.14469,
0.17175
],
[
0.01136,
0.02561,
0.02857,
0.03215,
0.0364,
0.04165,
0.0478,
0.05516,
0.06424,
0.07505,
0.08817,
0.10362,
0.12229,
0.14471,
0.17153
],
[
0.01286,
0.0267,
0.02955,
0.03313,
0.03726,
0.04232,
0.04847,
0.05594,
0.06473,
0.07548,
0.08852,
0.10384,
0.12245,
0.14485,
0.17206
],
[
0.01521,
0.02854,
0.03133,
0.03472,
0.03884,
0.04381,
0.04981,
0.05705,
0.06588,
0.0765,
0.08926,
0.10481,
0.12317,
0.14547,
0.17254
],
[
0.01894,
0.03151,
0.03427,
0.0376,
0.04164,
0.04649,
0.05236,
0.05943,
0.06811,
0.07864,
0.09108,
0.1065,
0.12489,
0.14702,
0.17373
],
[
0.02454,
0.03611,
0.03879,
0.04204,
0.04599,
0.05078,
0.05655,
0.06348,
0.07194,
0.08208,
0.0945,
0.10949,
0.12747,
0.14931,
0.17577
]
]
}
}
],
"max_capacitance": 0.07197,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"three_state": "(TE')",
"timing": [
{
"ccsn_first_stage": {
"dc_current,ccsn_dc": {
"index_1": [
-1.8,
-0.9,
-0.36,
-0.18,
0,
0.09,
0.18,
0.27,
0.36,
0.45,
0.54,
0.63,
0.72,
0.81,
0.9,
0.99,
1.08,
1.17,
1.26,
1.35,
1.44,
1.53,
1.62,
1.71,
1.8,
1.98,
2.16,
2.7,
3.6
],
"index_2": [
-1.8,
-0.9,
-0.36,
-0.18,
0,
0.09,
0.18,
0.27,
0.36,
0.45,
0.54,
0.63,
0.72,
0.81,
0.9,
0.99,
1.08,
1.17,
1.26,
1.35,
1.44,
1.53,
1.62,
1.71,
1.8,
1.98,
2.16,
2.7,
3.6
],
"values": [
[
3.83606,
0.345174,
0.18419,
0.179537,
0.173925,
0.170744,
0.167287,
0.163513,
0.159353,
0.154704,
0.149429,
0.143405,
0.136572,
0.128924,
0.120487,
0.111295,
0.101385,
0.0907938,
0.0795536,
0.0676953,
0.0552466,
0.0422321,
0.0286739,
0.0145911,
-1.09376e-09,
-0.0297756,
-0.0599738,
-0.19415,
-3.35146
],
[
3.80681,
0.317098,
0.16022,
0.15842,
0.156208,
0.154831,
0.153154,
0.151066,
0.148467,
0.145277,
0.141421,
0.136833,
0.131457,
0.125251,
0.118183,
0.110238,
0.101407,
0.0916913,
0.0810993,
0.0696432,
0.0573388,
0.0442039,
0.030257,
0.0155166,
-2.49675e-09,
-0.032195,
-0.0653185,
-0.208877,
-3.35588
],
[
3.78302,
0.290258,
0.131389,
0.129352,
0.127137,
0.125943,
0.124674,
0.123311,
0.12182,
0.120149,
0.1182,
0.115808,
0.11277,
0.108933,
0.104202,
0.0985155,
0.091826,
0.0840983,
0.0753074,
0.0654393,
0.054489,
0.0424592,
0.0293585,
0.0152002,
-6.05396e-09,
-0.0323072,
-0.0663226,
-0.213656,
-3.35797
],
[
3.77644,
0.280715,
0.117898,
0.115819,
0.113605,
0.112435,
0.111215,
0.109933,
0.108573,
0.107112,
0.10551,
0.103696,
0.101534,
0.0988036,
0.0952737,
0.0907991,
0.0852951,
0.0787038,
0.0709823,
0.0621011,
0.0520417,
0.0407969,
0.0283683,
0.0147648,
-1.05217e-08,
-0.031823,
-0.0657845,
-0.213904,
-3.35827
],
[
3.77437,
0.281887,
0.10278,
0.100689,
0.0985141,
0.0973816,
0.0962136,
0.0950041,
0.0937451,
0.0924249,
0.0910258,
0.0895198,
0.0878576,
0.0859426,
0.0835803,
0.0804823,
0.0764136,
0.0712444,
0.0648963,
0.057314,
0.0484585,
0.0383054,
0.0268431,
0.0140717,
-2.48318e-08,
-0.0309293,
-0.0645622,
-0.213114,
-3.35835
],
[
3.77409,
0.290468,
0.0948006,
0.092649,
0.0905054,
0.089396,
0.0882572,
0.0870844,
0.0858718,
0.084611,
0.0832899,
0.0818902,
0.0803816,
0.0787085,
0.0767561,
0.0742888,
0.0709879,
0.0666254,
0.0610794,
0.0542732,
0.0461523,
0.0366794,
0.0258329,
0.013605,
-2.12334e-08,
-0.0302913,
-0.0636318,
-0.212245,
-3.35828
],
[
3.77356,
0.304201,
0.0869342,
0.0843742,
0.0822671,
0.0811841,
0.0800768,
0.0789419,
0.0777751,
0.0765703,
0.0753193,
0.0740098,
0.0726225,
0.0711249,
0.0694542,
0.0674711,
0.0648904,
0.0613699,
0.0566942,
0.0507476,
0.0434543,
0.0347601,
0.0246294,
0.0130438,
-1.68012e-07,
-0.0295025,
-0.0624505,
-0.211009,
-3.35813
],
[
3.77244,
0.321204,
0.0806623,
0.0759556,
0.0738692,
0.0728158,
0.0717428,
0.0706476,
0.069527,
0.0683768,
0.0671912,
0.0659619,
0.0646766,
0.0633154,
0.0618435,
0.0601888,
0.0581794,
0.0554727,
0.0517143,
0.0467039,
0.0403302,
0.0325169,
0.0232097,
0.0123751,
-1.40847e-06,
-0.0285442,
-0.0609872,
-0.209369,
-3.35789
],
[
3.77067,
0.339394,
0.0799796,
0.0676014,
0.065391,
0.0643697,
0.0633338,
0.0622805,
0.0612074,
0.0601114,
0.0589888,
0.0578338,
0.0566384,
0.0553905,
0.0540698,
0.052639,
0.0510143,
0.0489795,
0.0461309,
0.0421148,
0.0367464,
0.0299158,
0.0215449,
0.0115795,
-1.1597e-05,
-0.0274044,
-0.0592179,
-0.207302,
-3.3576
],
[
3.76829,
0.357237,
0.0874722,
0.0601457,
0.0569218,
0.0559271,
0.0549299,
0.0539198,
0.0528946,
0.0518524,
0.0507904,
0.0497048,
0.0485906,
0.0474401,
0.0462414,
0.0449745,
0.0435994,
0.0420165,
0.0399503,
0.036939,
0.0326425,
0.026888,
0.0195643,
0.01059,
-8.93168e-05,
-0.0261285,
-0.057185,
-0.204891,
-3.35761
],
[
3.76544,
0.373902,
0.099666,
0.0564918,
0.0485612,
0.047528,
0.0465589,
0.0455834,
0.0445972,
0.0435984,
0.0425851,
0.0415547,
0.0405038,
0.0394279,
0.0383197,
0.0371684,
0.035954,
0.034634,
0.0330866,
0.0309605,
0.0277467,
0.0231274,
0.0169366,
0.00905762,
-0.00059542,
-0.0251055,
-0.0553201,
-0.2028,
-3.35962
],
[
3.76225,
0.38906,
0.112094,
0.0590359,
0.0404191,
0.0389074,
0.0378741,
0.0368666,
0.0358569,
0.0348389,
0.0338098,
0.0327672,
0.0317086,
0.030631,
0.0295301,
0.0283997,
0.0272299,
0.0260016,
0.0246696,
0.0230812,
0.0207927,
0.0172669,
0.0122111,
0.00545833,
-0.00311794,
-0.0260513,
-0.0554825,
-0.203445,
-3.36806
],
[
3.75884,
0.40262,
0.122591,
0.063621,
0.0326171,
0.0286895,
0.0269914,
0.0256761,
0.0244297,
0.0232034,
0.0219816,
0.020757,
0.0195252,
0.0182827,
0.0170265,
0.0157527,
0.014456,
0.0131279,
0.0117512,
0.0102776,
0.00851224,
0.00590696,
0.00191989,
-0.00372076,
-0.0111929,
-0.0325162,
-0.0612201,
-0.210297,
-3.38608
],
[
3.75533,
0.414544,
0.130757,
0.0669051,
0.025291,
0.0160817,
0.0115427,
0.00918802,
0.00737701,
0.00574052,
0.00418305,
0.00266812,
0.00117806,
-0.000297101,
-0.00176384,
-0.00322702,
-0.00469101,
-0.00616081,
-0.00764379,
-0.00915527,
-0.0107468,
-0.012671,
-0.0155837,
-0.020008,
-0.0262052,
-0.0455536,
-0.0732478,
-0.222998,
-3.41051
],
[
3.75179,
0.424766,
0.137014,
0.0688079,
0.018592,
0.00340566,
-0.00602973,
-0.0109806,
-0.0138597,
-0.0160768,
-0.018023,
-0.0198271,
-0.0215446,
-0.0232037,
-0.0248208,
-0.0264061,
-0.0279668,
-0.0295083,
-0.0310356,
-0.032555,
-0.0340796,
-0.0356644,
-0.0376396,
-0.0407566,
-0.0454895,
-0.0623756,
-0.0886593,
-0.238325,
-3.43686
],
[
3.74832,
0.433231,
0.141936,
0.069932,
0.0126853,
-0.00766946,
-0.0221313,
-0.031118,
-0.0359725,
-0.0390686,
-0.0414995,
-0.0436113,
-0.0455384,
-0.0473444,
-0.0490637,
-0.0507168,
-0.0523167,
-0.0538723,
-0.05539,
-0.0568749,
-0.0583324,
-0.0597731,
-0.061258,
-0.0632302,
-0.0664712,
-0.0804846,
-0.105,
-0.253992,
-3.46277
],
[
3.74499,
0.440002,
0.146039,
0.0708116,
0.00775665,
-0.016784,
-0.0356389,
-0.048927,
-0.0568148,
-0.0612851,
-0.0643746,
-0.0668534,
-0.0690016,
-0.0709427,
-0.0727403,
-0.074431,
-0.0760372,
-0.0775742,
-0.0790522,
-0.080479,
-0.0818609,
-0.0832036,
-0.0845176,
-0.0858926,
-0.0879311,
-0.0987723,
-0.121161,
-0.269005,
-3.48747
],
[
3.74189,
0.44536,
0.149776,
0.0718762,
0.00401177,
-0.0239242,
-0.0464784,
-0.0636667,
-0.0751952,
-0.0817322,
-0.0857579,
-0.0887117,
-0.0911249,
-0.0932185,
-0.0951007,
-0.0968319,
-0.098449,
-0.0999764,
-0.101431,
-0.102825,
-0.104169,
-0.10547,
-0.106735,
-0.10798,
-0.109425,
-0.117015,
-0.136799,
-0.283003,
-3.51075
],
[
3.73916,
0.449779,
0.153546,
0.0734678,
0.0016192,
-0.029112,
-0.0547809,
-0.0753615,
-0.0905355,
-0.0998942,
-0.105307,
-0.108943,
-0.111738,
-0.114068,
-0.116107,
-0.117947,
-0.119645,
-0.121236,
-0.122743,
-0.124183,
-0.125568,
-0.126909,
-0.128212,
-0.129485,
-0.130793,
-0.135651,
-0.151999,
-0.295846,
-3.53261
],
[
3.73699,
0.453773,
0.157586,
0.0757052,
0.000492013,
-0.0326027,
-0.0609489,
-0.0845013,
-0.103024,
-0.115734,
-0.123184,
-0.127846,
-0.131221,
-0.133924,
-0.136227,
-0.138269,
-0.140127,
-0.141851,
-0.143473,
-0.145013,
-0.146489,
-0.147911,
-0.149288,
-0.150628,
-0.151955,
-0.155316,
-0.167381,
-0.30747,
-3.55304
],
[
3.73558,
0.457648,
0.161737,
0.0782726,
0.000128937,
-0.0350125,
-0.0656964,
-0.0918689,
-0.113365,
-0.129493,
-0.139664,
-0.145787,
-0.149965,
-0.153168,
-0.155818,
-0.158117,
-0.160178,
-0.162067,
-0.163827,
-0.165486,
-0.167065,
-0.168579,
-0.170038,
-0.171452,
-0.172833,
-0.175719,
-0.183722,
-0.317963,
-3.572
],
[
3.73503,
0.461266,
0.16557,
0.0807104,
3.4671e-05,
-0.036865,
-0.069569,
-0.0980211,
-0.122103,
-0.141335,
-0.154674,
-0.162785,
-0.16803,
-0.171865,
-0.174932,
-0.177531,
-0.17982,
-0.181889,
-0.183797,
-0.185581,
-0.187267,
-0.188873,
-0.190413,
-0.191899,
-0.19334,
-0.196171,
-0.201282,
-0.327797,
-3.58949
],
[
3.7351,
0.464325,
0.16886,
0.0828252,
9.74712e-06,
-0.0383803,
-0.0728094,
-0.103223,
-0.129531,
-0.151428,
-0.167967,
-0.178621,
-0.185288,
-0.189925,
-0.193494,
-0.196438,
-0.198979,
-0.201243,
-0.203306,
-0.205216,
-0.207006,
-0.208702,
-0.210318,
-0.21187,
-0.213367,
-0.216247,
-0.21984,
-0.337595,
-3.6055
],
[
3.73537,
0.466733,
0.171601,
0.0846034,
2.71038e-06,
-0.0396414,
-0.0755346,
-0.107625,
-0.135841,
-0.159987,
-0.179398,
-0.192985,
-0.201525,
-0.207196,
-0.21138,
-0.214723,
-0.217544,
-0.220014,
-0.222234,
-0.224268,
-0.226158,
-0.227933,
-0.229616,
-0.231222,
-0.232763,
-0.235693,
-0.238717,
-0.347588,
-3.61994
],
[
3.73561,
0.46854,
0.173847,
0.0860769,
6.82496e-07,
-0.0406929,
-0.0778233,
-0.111341,
-0.141187,
-0.167227,
-0.18904,
-0.20558,
-0.216465,
-0.223481,
-0.228424,
-0.232232,
-0.235359,
-0.238041,
-0.240414,
-0.242559,
-0.244532,
-0.246368,
-0.248094,
-0.24973,
-0.25129,
-0.254226,
-0.257048,
-0.357575,
-3.6324
],
[
3.73582,
0.470657,
0.177095,
0.0882592,
2.33257e-08,
-0.0422881,
-0.0813305,
-0.117082,
-0.149492,
-0.178479,
-0.203855,
-0.225103,
-0.241219,
-0.251988,
-0.259025,
-0.263973,
-0.267743,
-0.270793,
-0.273368,
-0.275611,
-0.277609,
-0.279419,
-0.281081,
-0.282622,
-0.284064,
-0.286706,
-0.289108,
-0.375352,
-3.64941
],
[
3.7357,
0.471206,
0.179028,
0.0896348,
7.99051e-09,
-0.0433555,
-0.0837215,
-0.121054,
-0.155301,
-0.186388,
-0.214166,
-0.238316,
-0.258188,
-0.272955,
-0.282742,
-0.28906,
-0.293393,
-0.296599,
-0.299122,
-0.301202,
-0.302974,
-0.304524,
-0.305905,
-0.307155,
-0.3083,
-0.310347,
-0.312152,
-0.388081,
-3.65738
],
[
3.73418,
0.466886,
0.179788,
0.0906896,
2.90465e-08,
-0.0445687,
-0.0866898,
-0.126311,
-0.163361,
-0.19772,
-0.229123,
-0.256946,
-0.280059,
-0.297661,
-0.310449,
-0.31984,
-0.326907,
-0.332239,
-0.336159,
-0.338971,
-0.341013,
-0.342555,
-0.343775,
-0.344781,
-0.345637,
-0.347051,
-0.348209,
-0.414389,
-3.66993
],
[
3.72991,
0.450626,
0.173316,
0.0877418,
1.30478e-07,
-0.0435534,
-0.0852132,
-0.124916,
-0.162575,
-0.198035,
-0.230953,
-0.260464,
-0.284891,
-0.303121,
-0.316241,
-0.326055,
-0.333816,
-0.340247,
-0.345762,
-0.350611,
-0.35495,
-0.358881,
-0.362466,
-0.365743,
-0.36872,
-0.373669,
-0.377018,
-0.444768,
-3.69638
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000932,
"miller_cap_rise": 0.000863,
"output_voltage_fall": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00418816666666667
],
"index_3": [
0.018594,
0.02810606,
0.03787288,
0.04910607,
0.06860178
],
"values": [
1.62,
1.26,
0.9,
0.54,
0.18
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0125645
],
"index_3": [
0.02501158,
0.04680837,
0.06937553,
0.09482678,
0.1371327
],
"values": [
1.62,
1.26,
0.9,
0.54,
0.18
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00418816666666667
],
"index_3": [
0.07191124,
0.08671926,
0.09818222,
0.1092783,
0.128752
],
"values": [
1.62,
1.26,
0.9,
0.54,
0.18
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0125645
],
"index_3": [
0.08303338,
0.1076468,
0.1302199,
0.1555331,
0.1979236
],
"values": [
1.62,
1.26,
0.9,
0.54,
0.18
]
}
]
},
"output_voltage_rise": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00164190166666667
],
"index_3": [
0.02466464,
0.03802526,
0.05289645,
0.07243594,
0.1139466
],
"values": [
0.18,
0.54,
0.9,
1.26,
1.62
]
},
{
"index_1": [
0.01
],
"index_2": [
0.004925705
],
"index_3": [
0.0322606,
0.05835899,
0.08672112,
0.1221316,
0.1895692
],
"values": [
0.18,
0.54,
0.9,
1.26,
1.62
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00164190166666667
],
"index_3": [
0.07784777,
0.09631414,
0.1108605,
0.1303887,
0.17192
],
"values": [
0.18,
0.54,
0.9,
1.26,
1.62
]
},
{
"index_1": [
0.1
],
"index_2": [
0.004925705
],
"index_3": [
0.08879924,
0.1160875,
0.1443243,
0.1797365,
0.2473127
],
"values": [
0.18,
0.54,
0.9,
1.26,
1.62
]
}
]
},
"propagated_noise_high": {
"vector,ccsn_pnlh": [
{
"index_1": [
0.92021484375
],
"index_2": [
1.05750064089368
],
"index_3": [
0.00418816666666667
],
"index_4": [
0.5179499,
0.5510981,
0.6043571,
0.6790311,
0.7328416
],
"values": [
1.491357,
1.3061712,
1.182714,
1.3061712,
1.491357
]
},
{
"index_1": [
1.08017578125
],
"index_2": [
0.472373582238812
],
"index_3": [
0.00418816666666667
],
"index_4": [
0.2352833,
0.2583523,
0.3033972,
0.3532547,
0.3971686
],
"values": [
1.37199745,
1.11519592,
0.9439949,
1.11519592,
1.37199745
]
},
{
"index_1": [
1.23837890625
],
"index_2": [
0.277689745226231
],
"index_3": [
0.00418816666666667
],
"index_4": [
0.1409577,
0.1588775,
0.1873732,
0.2332519,
0.2700788
],
"values": [
1.3273179,
1.04370864,
0.8546358,
1.04370864,
1.3273179
]
},
{
"index_1": [
0.92021484375
],
"index_2": [
0.528750320446842
],
"index_3": [
0.00418816666666667
],
"index_4": [
0.2638143,
0.2813747,
0.3110291,
0.3559906,
0.4014162
],
"values": [
1.6331481,
1.53303696,
1.4662962,
1.53303696,
1.6331481
]
},
{
"index_1": [
1.23837890625
],
"index_2": [
0.138844872613115
],
"index_3": [
0.00418816666666667
],
"index_4": [
0.07442979,
0.0834938,
0.098492,
0.1307268,
0.1609469
],
"values": [
1.57934755,
1.44695608,
1.3586951,
1.44695608,
1.57934755
]
},
{
"index_1": [
1.08017578125
],
"index_2": [
0.472373582238812
],
"index_3": [
0.0125645
],
"index_4": [
0.238229,
0.2633363,
0.3061201,
0.375619,
0.4505692
],
"values": [
1.60202455,
1.48323928,
1.4040491,
1.48323928,
1.60202455
]
},
{
"index_1": [
1.23837890625
],
"index_2": [
0.277689745226231
],
"index_3": [
0.0125645
],
"index_4": [
0.1432032,
0.1622408,
0.1934124,
0.2529702,
0.3138655
],
"values": [
1.5878639,
1.46058224,
1.3757278,
1.46058224,
1.5878639
]
}
]
},
"propagated_noise_low": {
"vector,ccsn_pnlh": [
{
"index_1": [
0.958736640468321
],
"index_2": [
0.970613174313394
],
"index_3": [
0.00164190166666667
],
"index_4": [
0.4587371,
0.486512,
0.5109688,
0.5404849,
0.572716
],
"values": [
0.0746155,
0.1193848,
0.149231,
0.1193848,
0.0746155
]
},
{
"index_1": [
1.10126953125
],
"index_2": [
0.582988870289051
],
"index_3": [
0.00164190166666667
],
"index_4": [
0.2856605,
0.3028581,
0.3289315,
0.3558835,
0.374997
],
"values": [
0.2238637,
0.35818192,
0.4477274,
0.35818192,
0.2238637
]
},
{
"index_1": [
1.26826171875
],
"index_2": [
0.369562663306417
],
"index_3": [
0.00164190166666667
],
"index_4": [
0.1831981,
0.2009915,
0.2252888,
0.2526205,
0.268363
],
"values": [
0.36776305,
0.58842088,
0.7355261,
0.58842088,
0.36776305
]
},
{
"index_1": [
0.958736640468321
],
"index_2": [
0.485306587156697
],
"index_3": [
0.00164190166666667
],
"index_4": [
0.2339887,
0.2472651,
0.2610678,
0.285298,
0.3073177
],
"values": [
0.05848065,
0.09356904,
0.1169613,
0.09356904,
0.05848065
]
},
{
"index_1": [
1.26826171875
],
"index_2": [
0.184781331653209
],
"index_3": [
0.00164190166666667
],
"index_4": [
0.09514105,
0.1038303,
0.1166037,
0.130844,
0.1420364
],
"values": [
0.1935872,
0.30973952,
0.3871744,
0.30973952,
0.1935872
]
},
{
"index_1": [
1.10126953125
],
"index_2": [
0.582988870289051
],
"index_3": [
0.004925705
],
"index_4": [
0.2847602,
0.3050639,
0.3341074,
0.3664609,
0.3919271
],
"values": [
0.13660595,
0.21856952,
0.2732119,
0.21856952,
0.13660595
]
},
{
"index_1": [
1.26826171875
],
"index_2": [
0.369562663306417
],
"index_3": [
0.004925705
],
"index_4": [
0.1844605,
0.203722,
0.2332172,
0.2587131,
0.2784981
],
"values": [
0.2096732,
0.33547712,
0.4193464,
0.33547712,
0.2096732
]
}
]
},
"stage_type": "both"
},
"cell_fall,delay_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00284,
0.01227,
0.01415,
0.01641,
0.01912,
0.02237,
0.02627,
0.03095,
0.03657,
0.04332,
0.05141,
0.06112,
0.07278,
0.08677,
0.10356
],
"values": [
[
0.0148,
0.05042,
0.05726,
0.06553,
0.07536,
0.08724,
0.1014,
0.11838,
0.13888,
0.16331,
0.19273,
0.22807,
0.27039,
0.32097,
0.38219
],
[
0.01684,
0.05266,
0.05952,
0.06777,
0.07767,
0.08954,
0.10368,
0.1207,
0.14119,
0.16568,
0.19501,
0.2304,
0.27277,
0.32344,
0.38442
],
[
0.01888,
0.05525,
0.06219,
0.07045,
0.08037,
0.09215,
0.10646,
0.12341,
0.14392,
0.16847,
0.19788,
0.23299,
0.27546,
0.32636,
0.38728
],
[
0.0207,
0.05922,
0.06612,
0.07441,
0.08433,
0.09616,
0.11041,
0.12738,
0.14783,
0.17252,
0.20195,
0.23705,
0.27958,
0.33024,
0.39114
],
[
0.02227,
0.06517,
0.07211,
0.08037,
0.09025,
0.10212,
0.11638,
0.13339,
0.15387,
0.17835,
0.20785,
0.24328,
0.28566,
0.33644,
0.39717
],
[
0.02338,
0.0742,
0.08114,
0.08946,
0.09939,
0.11126,
0.12552,
0.14256,
0.16306,
0.18756,
0.21704,
0.25238,
0.29459,
0.3455,
0.4066
],
[
0.02373,
0.08538,
0.09362,
0.10286,
0.11312,
0.12496,
0.13919,
0.15626,
0.17674,
0.20126,
0.23073,
0.26585,
0.30844,
0.35919,
0.4201
],
[
0.02272,
0.09711,
0.10719,
0.11837,
0.13078,
0.14455,
0.15984,
0.17699,
0.19736,
0.22194,
0.25136,
0.28664,
0.32892,
0.37984,
0.44071
],
[
0.01933,
0.10885,
0.12105,
0.13461,
0.1497,
0.16631,
0.18483,
0.20533,
0.22803,
0.25321,
0.28249,
0.31766,
0.35985,
0.4108,
0.47169
],
[
0.01197,
0.11956,
0.13423,
0.15063,
0.16884,
0.18907,
0.2115,
0.23628,
0.26373,
0.294,
0.32759,
0.36476,
0.407,
0.45776,
0.51855
],
[
-0.00174,
0.12771,
0.14531,
0.16498,
0.18688,
0.21125,
0.23829,
0.26827,
0.3015,
0.33829,
0.37889,
0.42368,
0.47322,
0.52762,
0.58912
],
[
-0.02542,
0.13033,
0.1515,
0.17517,
0.20147,
0.23067,
0.26317,
0.29897,
0.33897,
0.38337,
0.43267,
0.48697,
0.54687,
0.61297,
0.68547
],
[
-0.0644,
0.1226,
0.148,
0.1765,
0.2081,
0.2433,
0.2823,
0.3256,
0.3736,
0.427,
0.486,
0.5514,
0.6237,
0.7037,
0.792
]
]
},
"cell_rise,delay_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00284,
0.01227,
0.01415,
0.01641,
0.01912,
0.02237,
0.02627,
0.03095,
0.03657,
0.04332,
0.05141,
0.06112,
0.07278,
0.08677,
0.10356
],
"values": [
[
0.02687,
0.12541,
0.14413,
0.1665,
0.19323,
0.22546,
0.26397,
0.31007,
0.36522,
0.43164,
0.51157,
0.60711,
0.7215,
0.85858,
1.02407
],
[
0.02845,
0.12681,
0.14566,
0.16823,
0.19509,
0.22707,
0.26582,
0.31206,
0.36741,
0.4337,
0.51321,
0.60917,
0.72399,
0.86134,
1.02574
],
[
0.03104,
0.1289,
0.14773,
0.17023,
0.19722,
0.22954,
0.26809,
0.31407,
0.36985,
0.43639,
0.51592,
0.61105,
0.72632,
0.86423,
1.02912
],
[
0.03557,
0.13215,
0.15104,
0.17353,
0.20066,
0.23298,
0.27152,
0.31785,
0.3735,
0.44005,
0.51958,
0.61494,
0.73021,
0.86806,
1.03317
],
[
0.04124,
0.13752,
0.15646,
0.17903,
0.20609,
0.23833,
0.27704,
0.32346,
0.37912,
0.44572,
0.52523,
0.62095,
0.73617,
0.87385,
1.03861
],
[
0.04755,
0.1464,
0.16524,
0.18768,
0.21475,
0.24709,
0.28555,
0.33212,
0.38777,
0.45421,
0.53417,
0.63011,
0.74485,
0.88229,
1.04712
],
[
0.05527,
0.16078,
0.17931,
0.20167,
0.22847,
0.26074,
0.29919,
0.34565,
0.40087,
0.46776,
0.54772,
0.64328,
0.75775,
0.89613,
1.06153
],
[
0.06522,
0.18358,
0.20183,
0.22388,
0.25038,
0.28236,
0.3206,
0.36683,
0.42198,
0.48875,
0.5682,
0.66403,
0.77908,
0.91656,
1.08146
],
[
0.07869,
0.217,
0.23666,
0.25867,
0.28471,
0.3161,
0.35414,
0.39989,
0.45472,
0.52109,
0.6002,
0.696,
0.81025,
0.94815,
1.11355
],
[
0.09741,
0.25755,
0.28084,
0.30703,
0.33628,
0.36899,
0.40622,
0.45127,
0.50579,
0.57144,
0.65009,
0.74519,
0.85929,
0.99659,
1.16099
],
[
0.1236,
0.30673,
0.33427,
0.36529,
0.40001,
0.43881,
0.48212,
0.53042,
0.58462,
0.64942,
0.72742,
0.82112,
0.93482,
1.07132,
1.23472
],
[
0.16036,
0.36797,
0.39997,
0.43627,
0.47717,
0.52307,
0.57447,
0.63187,
0.69587,
0.76717,
0.84657,
0.93907,
1.05097,
1.18597,
1.34917
],
[
0.2124,
0.4472,
0.4836,
0.5252,
0.5726,
0.6261,
0.6864,
0.7542,
0.8301,
0.9148,
1.009,
1.1139,
1.2307,
1.3642,
1.5252
]
]
},
"fall_transition,delay_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00284,
0.01227,
0.01415,
0.01641,
0.01912,
0.02237,
0.02627,
0.03095,
0.03657,
0.04332,
0.05141,
0.06112,
0.07278,
0.08677,
0.10356
],
"values": [
[
0.00835,
0.05484,
0.06407,
0.07521,
0.08847,
0.10453,
0.12361,
0.14669,
0.17435,
0.20733,
0.24727,
0.29505,
0.35219,
0.42094,
0.50368
],
[
0.0087,
0.05484,
0.06404,
0.07517,
0.08852,
0.10452,
0.12366,
0.1466,
0.17435,
0.20736,
0.24724,
0.29504,
0.3523,
0.42061,
0.5036
],
[
0.01041,
0.05482,
0.0641,
0.07516,
0.08854,
0.10446,
0.12371,
0.14667,
0.17435,
0.20751,
0.2473,
0.29481,
0.35233,
0.42117,
0.50341
],
[
0.01266,
0.05483,
0.06403,
0.0752,
0.08853,
0.1045,
0.12368,
0.14656,
0.17425,
0.20754,
0.24732,
0.29482,
0.35238,
0.42071,
0.50348
],
[
0.0153,
0.0559,
0.06461,
0.07531,
0.0885,
0.10449,
0.12361,
0.14657,
0.17432,
0.20739,
0.24709,
0.29504,
0.35238,
0.42096,
0.50348
],
[
0.01895,
0.06017,
0.06805,
0.07795,
0.09017,
0.10527,
0.12384,
0.14667,
0.1743,
0.20731,
0.24729,
0.29498,
0.3521,
0.4211,
0.50363
],
[
0.02402,
0.06961,
0.07656,
0.08534,
0.09636,
0.11017,
0.12735,
0.14857,
0.17503,
0.20753,
0.24718,
0.2949,
0.35235,
0.42082,
0.50362
],
[
0.03107,
0.08389,
0.09159,
0.10034,
0.11023,
0.12242,
0.13786,
0.15721,
0.1815,
0.2116,
0.24914,
0.29545,
0.35201,
0.42113,
0.50314
],
[
0.04081,
0.10226,
0.11114,
0.12112,
0.13244,
0.14538,
0.15976,
0.17716,
0.19859,
0.22596,
0.2604,
0.30327,
0.35634,
0.42228,
0.50366
],
[
0.05433,
0.12632,
0.13654,
0.14811,
0.16117,
0.17578,
0.19245,
0.21129,
0.23243,
0.25722,
0.28781,
0.32628,
0.37515,
0.43608,
0.51187
],
[
0.07315,
0.15799,
0.16996,
0.18339,
0.19842,
0.21548,
0.23457,
0.25616,
0.28063,
0.3082,
0.33935,
0.37474,
0.4184,
0.47321,
0.5421
],
[
0.09968,
0.20054,
0.21436,
0.23002,
0.2476,
0.26736,
0.28941,
0.31456,
0.34292,
0.3747,
0.4102,
0.4505,
0.496,
0.5475,
0.6102
],
[
0.1373,
0.2581,
0.2745,
0.2927,
0.3131,
0.3363,
0.3621,
0.3911,
0.4236,
0.4603,
0.5016,
0.5481,
0.6006,
0.6596,
0.7259
]
]
},
"related_pin": "A",
"rise_transition,delay_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00284,
0.01227,
0.01415,
0.01641,
0.01912,
0.02237,
0.02627,
0.03095,
0.03657,
0.04332,
0.05141,
0.06112,
0.07278,
0.08677,
0.10356
],
"values": [
[
0.02594,
0.1592,
0.18586,
0.21782,
0.25593,
0.30117,
0.35634,
0.42242,
0.50166,
0.59655,
0.70969,
0.84703,
1.01135,
1.20827,
1.44406
],
[
0.02575,
0.15951,
0.18582,
0.21759,
0.25595,
0.30178,
0.35594,
0.42226,
0.50151,
0.59667,
0.71052,
0.84584,
1.01104,
1.20828,
1.44473
],
[
0.02569,
0.15936,
0.18594,
0.21784,
0.25568,
0.30139,
0.3566,
0.4226,
0.50101,
0.59648,
0.71047,
0.84729,
1.01008,
1.20766,
1.44457
],
[
0.02772,
0.15922,
0.18595,
0.21771,
0.25549,
0.30163,
0.35666,
0.42206,
0.50128,
0.59649,
0.7105,
0.84725,
1.00958,
1.20775,
1.44447
],
[
0.03246,
0.15933,
0.18586,
0.21782,
0.25556,
0.30147,
0.35665,
0.4218,
0.50128,
0.59651,
0.71051,
0.84687,
1.0104,
1.2081,
1.44452
],
[
0.03966,
0.15931,
0.1857,
0.21776,
0.25557,
0.30172,
0.35646,
0.42195,
0.50152,
0.5966,
0.70955,
0.84673,
1.01111,
1.20817,
1.44456
],
[
0.04431,
0.16112,
0.18634,
0.21764,
0.25552,
0.30168,
0.35617,
0.42239,
0.50141,
0.59568,
0.71028,
0.84707,
1.0112,
1.20682,
1.44422
],
[
0.05102,
0.16968,
0.19314,
0.22187,
0.25805,
0.30214,
0.35591,
0.42245,
0.50096,
0.59656,
0.71038,
0.84586,
1.01093,
1.20804,
1.44422
],
[
0.05996,
0.18867,
0.20984,
0.23636,
0.26954,
0.31057,
0.36162,
0.42409,
0.50076,
0.59658,
0.70955,
0.84705,
1.01099,
1.20721,
1.44405
],
[
0.07245,
0.22188,
0.24194,
0.26644,
0.29651,
0.33443,
0.38084,
0.43921,
0.51166,
0.60094,
0.71119,
0.84715,
1.00997,
1.20825,
1.44277
],
[
0.09037,
0.26167,
0.28666,
0.31436,
0.3453,
0.38042,
0.42356,
0.47688,
0.54258,
0.6263,
0.72848,
0.8555,
1.0141,
1.2078,
1.4427
],
[
0.11602,
0.3097,
0.3393,
0.3721,
0.4092,
0.4503,
0.4962,
0.5471,
0.6089,
0.6849,
0.7792,
0.8971,
1.0441,
1.225,
1.451
],
[
0.153,
0.368,
0.4025,
0.4416,
0.4851,
0.5341,
0.5885,
0.6491,
0.7169,
0.7917,
0.8801,
0.988,
1.1241,
1.2921,
1.5015
]
]
},
"timing_sense": "negative_unate"
},
{
"cell_fall,delay_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00284,
0.01227,
0.01415,
0.01641,
0.01912,
0.02237,
0.02627,
0.03095,
0.03657,
0.04332,
0.05141,
0.06112,
0.07278,
0.08677,
0.10356
],
"values": [
[
0.01518,
0.0497,
0.05652,
0.06473,
0.0746,
0.08637,
0.10049,
0.11752,
0.13794,
0.16234,
0.1918,
0.22685,
0.26936,
0.31991,
0.38105
],
[
0.0172,
0.0518,
0.05864,
0.06682,
0.07668,
0.08844,
0.10265,
0.11964,
0.14,
0.16453,
0.19384,
0.2291,
0.2714,
0.32204,
0.3831
],
[
0.01896,
0.05411,
0.06094,
0.06915,
0.07898,
0.09078,
0.10498,
0.12197,
0.14225,
0.16687,
0.19611,
0.23146,
0.27367,
0.32459,
0.38515
],
[
0.02083,
0.05747,
0.06431,
0.07251,
0.08235,
0.09416,
0.10834,
0.12535,
0.14569,
0.17025,
0.19959,
0.23481,
0.27709,
0.32787,
0.38881
],
[
0.02259,
0.06242,
0.06926,
0.0775,
0.08734,
0.09915,
0.11328,
0.13036,
0.15073,
0.1752,
0.20464,
0.23977,
0.28214,
0.33299,
0.39364
],
[
0.02384,
0.06952,
0.07657,
0.08484,
0.09473,
0.10657,
0.12078,
0.13781,
0.15814,
0.18275,
0.21206,
0.24738,
0.28952,
0.3405,
0.40126
],
[
0.02388,
0.07817,
0.08604,
0.09508,
0.10548,
0.11749,
0.13173,
0.14877,
0.16931,
0.19386,
0.22315,
0.25856,
0.30089,
0.35161,
0.4127
],
[
0.02162,
0.08765,
0.09683,
0.10719,
0.11891,
0.13224,
0.14751,
0.16511,
0.18567,
0.21036,
0.23985,
0.27511,
0.31747,
0.36848,
0.42926
],
[
0.01515,
0.09666,
0.1077,
0.12005,
0.13384,
0.14929,
0.16666,
0.18632,
0.20875,
0.23454,
0.26434,
0.29984,
0.34243,
0.39332,
0.45418
],
[
0.00137,
0.10318,
0.11668,
0.1317,
0.14837,
0.16688,
0.18747,
0.21045,
0.23619,
0.26518,
0.29806,
0.33568,
0.37906,
0.43025,
0.49153
],
[
-0.02488,
0.1038,
0.12052,
0.13902,
0.15947,
0.18207,
0.20706,
0.23473,
0.26542,
0.29949,
0.33747,
0.38008,
0.42829,
0.48322,
0.54642
],
[
-0.07202,
0.09286,
0.11374,
0.13678,
0.16213,
0.19007,
0.22077,
0.25457,
0.29197,
0.33317,
0.37857,
0.42897,
0.48497,
0.54767,
0.61817
],
[
-0.1536,
0.0609,
0.0872,
0.1162,
0.148,
0.1828,
0.221,
0.2628,
0.3088,
0.3593,
0.4148,
0.4758,
0.5431,
0.6175,
0.7
]
]
},
"cell_rise,delay_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00284,
0.01227,
0.01415,
0.01641,
0.01912,
0.02237,
0.02627,
0.03095,
0.03657,
0.04332,
0.05141,
0.06112,
0.07278,
0.08677,
0.10356
],
"values": [
[
0.05576,
0.14894,
0.16745,
0.18957,
0.21635,
0.24827,
0.2865,
0.33253,
0.38764,
0.45408,
0.53326,
0.62873,
0.74365,
0.88113,
1.04587
],
[
0.05813,
0.15131,
0.16982,
0.19191,
0.21871,
0.25064,
0.28885,
0.33492,
0.39001,
0.4563,
0.53596,
0.63122,
0.74534,
0.88309,
1.04844
],
[
0.06097,
0.15423,
0.1727,
0.19484,
0.22155,
0.25331,
0.29174,
0.3376,
0.39277,
0.45929,
0.5388,
0.63398,
0.74818,
0.88613,
1.05132
],
[
0.06516,
0.15843,
0.17691,
0.19911,
0.22568,
0.25749,
0.29591,
0.34192,
0.39719,
0.46348,
0.54265,
0.63826,
0.75309,
0.8905,
1.05518
],
[
0.07061,
0.16384,
0.18226,
0.20458,
0.2312,
0.26305,
0.30128,
0.34735,
0.40266,
0.4688,
0.54852,
0.64396,
0.75833,
0.89518,
1.06061
],
[
0.07642,
0.16986,
0.18835,
0.2105,
0.23722,
0.26898,
0.30744,
0.35347,
0.40863,
0.47471,
0.55436,
0.64992,
0.76388,
0.90155,
1.06702
],
[
0.08223,
0.17594,
0.19443,
0.21662,
0.24326,
0.27522,
0.31348,
0.3596,
0.41447,
0.4811,
0.56025,
0.65577,
0.77042,
0.90733,
1.07263
],
[
0.08741,
0.18143,
0.2,
0.22219,
0.24883,
0.28062,
0.31907,
0.36481,
0.42038,
0.48657,
0.56611,
0.66121,
0.77598,
0.91296,
1.07846
],
[
0.09091,
0.1857,
0.20408,
0.2263,
0.25287,
0.28491,
0.32326,
0.36925,
0.42435,
0.49047,
0.57039,
0.66557,
0.78015,
0.91755,
1.08255
],
[
0.09113,
0.18708,
0.2055,
0.22778,
0.25445,
0.28632,
0.32462,
0.37047,
0.42568,
0.49196,
0.57147,
0.66669,
0.78179,
0.91879,
1.08399
],
[
0.08544,
0.18346,
0.20188,
0.22411,
0.25073,
0.28267,
0.32105,
0.36718,
0.4225,
0.48862,
0.56772,
0.66322,
0.77792,
0.91542,
1.08012
],
[
0.06954,
0.17097,
0.18957,
0.21177,
0.23847,
0.27047,
0.30887,
0.35487,
0.41017,
0.47667,
0.55557,
0.65157,
0.76587,
0.90267,
1.06767
],
[
0.0367,
0.1438,
0.1624,
0.1849,
0.2117,
0.2437,
0.2822,
0.3282,
0.3835,
0.4498,
0.5295,
0.6245,
0.7395,
0.877,
1.0414
]
]
},
"fall_transition,delay_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00284,
0.01227,
0.01415,
0.01641,
0.01912,
0.02237,
0.02627,
0.03095,
0.03657,
0.04332,
0.05141,
0.06112,
0.07278,
0.08677,
0.10356
],
"values": [
[
0.00868,
0.05485,
0.06407,
0.07515,
0.08842,
0.10434,
0.12346,
0.14644,
0.17407,
0.207,
0.24687,
0.29449,
0.35177,
0.4204,
0.50285
],
[
0.00921,
0.05487,
0.06403,
0.07514,
0.08839,
0.1043,
0.12348,
0.14645,
0.17404,
0.20713,
0.24685,
0.29435,
0.35181,
0.42016,
0.50283
],
[
0.01039,
0.05486,
0.06408,
0.07514,
0.08837,
0.10433,
0.12351,
0.14649,
0.17397,
0.20716,
0.24688,
0.29446,
0.35177,
0.42042,
0.50272
],
[
0.01235,
0.055,
0.06409,
0.07512,
0.08835,
0.1043,
0.12349,
0.14647,
0.174,
0.20713,
0.24691,
0.29435,
0.35178,
0.42017,
0.50283
],
[
0.01502,
0.05612,
0.06489,
0.07553,
0.08856,
0.10438,
0.1234,
0.14646,
0.17407,
0.20699,
0.24691,
0.29432,
0.3518,
0.42039,
0.50274
],
[
0.01871,
0.05931,
0.06751,
0.07769,
0.09013,
0.10532,
0.12394,
0.14658,
0.17399,
0.20715,
0.24689,
0.29448,
0.35174,
0.42045,
0.50242
],
[
0.02362,
0.06624,
0.07375,
0.08312,
0.09469,
0.10904,
0.12664,
0.14834,
0.17503,
0.20753,
0.24678,
0.29457,
0.35167,
0.42046,
0.50282
],
[
0.03019,
0.07736,
0.08503,
0.09413,
0.10485,
0.11787,
0.13429,
0.15463,
0.17982,
0.21088,
0.24888,
0.29536,
0.35167,
0.42044,
0.50251
],
[
0.03907,
0.0927,
0.101,
0.11052,
0.1217,
0.13494,
0.1505,
0.16897,
0.19206,
0.22086,
0.25694,
0.30109,
0.35561,
0.42223,
0.50311
],
[
0.05125,
0.11331,
0.12254,
0.1332,
0.14531,
0.15941,
0.17565,
0.19468,
0.21713,
0.24374,
0.2767,
0.31761,
0.36881,
0.43191,
0.50984
],
[
0.06829,
0.1406,
0.15114,
0.16323,
0.1769,
0.19254,
0.21034,
0.23097,
0.25477,
0.28242,
0.31463,
0.35323,
0.40003,
0.45828,
0.53086
],
[
0.09265,
0.17691,
0.18911,
0.20316,
0.21887,
0.23658,
0.25676,
0.27971,
0.30602,
0.33614,
0.3708,
0.4106,
0.4579,
0.5133,
0.5797
],
[
0.128,
0.2259,
0.2401,
0.2562,
0.2745,
0.295,
0.3183,
0.3445,
0.3742,
0.4081,
0.4467,
0.4902,
0.5412,
0.5988,
0.6674
]
]
},
"related_pin": "TE",
"rise_transition,delay_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00284,
0.01227,
0.01415,
0.01641,
0.01912,
0.02237,
0.02627,
0.03095,
0.03657,
0.04332,
0.05141,
0.06112,
0.07278,
0.08677,
0.10356
],
"values": [
[
0.02729,
0.15931,
0.18566,
0.21753,
0.25526,
0.3013,
0.35571,
0.4219,
0.5009,
0.59573,
0.70945,
0.84556,
1.00888,
1.20612,
1.44226
],
[
0.0273,
0.15932,
0.18565,
0.21755,
0.25522,
0.30127,
0.35578,
0.42188,
0.50091,
0.59488,
0.70923,
0.8459,
1.00968,
1.20546,
1.44098
],
[
0.0273,
0.15907,
0.18551,
0.21751,
0.2556,
0.30127,
0.35592,
0.42191,
0.50058,
0.5952,
0.70931,
0.84588,
1.0097,
1.20467,
1.44149
],
[
0.02731,
0.1592,
0.18554,
0.21716,
0.25569,
0.30112,
0.35564,
0.42177,
0.50045,
0.59572,
0.70947,
0.84519,
1.00915,
1.20622,
1.4423
],
[
0.02746,
0.15934,
0.18579,
0.21723,
0.25562,
0.30133,
0.35587,
0.42125,
0.50082,
0.59543,
0.70878,
0.84565,
1.00974,
1.20645,
1.44122
],
[
0.0279,
0.15934,
0.18576,
0.21737,
0.25539,
0.30129,
0.35568,
0.42188,
0.50091,
0.59579,
0.70836,
0.84543,
1.00972,
1.20569,
1.44099
],
[
0.02843,
0.15937,
0.18555,
0.21758,
0.25525,
0.30134,
0.35576,
0.42168,
0.50045,
0.59569,
0.70937,
0.84511,
1.0097,
1.20626,
1.44057
],
[
0.02915,
0.15917,
0.18573,
0.21756,
0.25555,
0.30112,
0.35627,
0.42176,
0.50096,
0.59499,
0.70964,
0.84474,
1.00991,
1.20551,
1.44174
],
[
0.03047,
0.15945,
0.1861,
0.2176,
0.25564,
0.30094,
0.35616,
0.42182,
0.50016,
0.59548,
0.70955,
0.84514,
1.01004,
1.20554,
1.44239
],
[
0.03241,
0.15965,
0.1861,
0.21777,
0.25546,
0.30145,
0.35603,
0.42199,
0.50108,
0.59564,
0.70939,
0.84565,
1.00974,
1.20508,
1.44269
],
[
0.0353,
0.16006,
0.18645,
0.21805,
0.25594,
0.30146,
0.35597,
0.42166,
0.50068,
0.59499,
0.70967,
0.84578,
1.00994,
1.20668,
1.4407
],
[
0.03956,
0.16165,
0.18708,
0.2186,
0.25636,
0.3017,
0.35629,
0.4218,
0.5008,
0.5953,
0.7091,
0.8452,
1.0086,
1.2067,
1.4406
],
[
0.0455,
0.1639,
0.1895,
0.2203,
0.2573,
0.3027,
0.3569,
0.4228,
0.5012,
0.5961,
0.71,
0.846,
1.0088,
1.2051,
1.4417
]
]
},
"timing_sense": "positive_unate",
"timing_type": "three_state_enable"
},
{
"cell_fall,delay_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00284,
0.01227,
0.01415,
0.01641,
0.01912,
0.02237,
0.02627,
0.03095,
0.03657,
0.04332,
0.05141,
0.06112,
0.07278,
0.08677,
0.10356
],
"values": [
[
0.1126,
0.1126,
0.1126,
0.1126,
0.1126,
0.1126,
0.1126,
0.1126,
0.1126,
0.1126,
0.1126,
0.1126,
0.1126,
0.1126,
0.1126
],
[
0.13155,
0.13155,
0.13155,
0.13155,
0.13155,
0.13155,
0.13155,
0.13155,
0.13155,
0.13155,
0.13155,
0.13155,
0.13155,
0.13155,
0.13155
],
[
0.13162,
0.13162,
0.13162,
0.13162,
0.13162,
0.13162,
0.13162,
0.13162,
0.13162,
0.13162,
0.13162,
0.13162,
0.13162,
0.13162,
0.13162
],
[
0.14538,
0.14538,
0.14538,
0.14538,
0.14538,
0.14538,
0.14538,
0.14538,
0.14538,
0.14538,
0.14538,
0.14538,
0.14538,
0.14538,
0.14538
],
[
0.15191,
0.15191,
0.15191,
0.15191,
0.15191,
0.15191,
0.15191,
0.15191,
0.15191,
0.15191,
0.15191,
0.15191,
0.15191,
0.15191,
0.15191
],
[
0.16593,
0.16593,
0.16593,
0.16593,
0.16593,
0.16593,
0.16593,
0.16593,
0.16593,
0.16593,
0.16593,
0.16593,
0.16593,
0.16593,
0.16593
],
[
0.18439,
0.18439,
0.18439,
0.18439,
0.18439,
0.18439,
0.18439,
0.18439,
0.18439,
0.18439,
0.18439,
0.18439,
0.18439,
0.18439,
0.18439
],
[
0.21252,
0.21252,
0.21252,
0.21252,
0.21252,
0.21252,
0.21252,
0.21252,
0.21252,
0.21252,
0.21252,
0.21252,
0.21252,
0.21252,
0.21252
],
[
0.24182,
0.24182,
0.24182,
0.24182,
0.24182,
0.24182,
0.24182,
0.24182,
0.24182,
0.24182,
0.24182,
0.24182,
0.24182,
0.24182,
0.24182
],
[
0.28599,
0.28599,
0.28599,
0.28599,
0.28599,
0.28599,
0.28599,
0.28599,
0.28599,
0.28599,
0.28599,
0.28599,
0.28599,
0.28599,
0.28599
],
[
0.34141,
0.34141,
0.34141,
0.34141,
0.34141,
0.34141,
0.34141,
0.34141,
0.34141,
0.34141,
0.34141,
0.34141,
0.34141,
0.34141,
0.34141
],
[
0.41307,
0.41307,
0.41307,
0.41307,
0.41307,
0.41307,
0.41307,
0.41307,
0.41307,
0.41307,
0.41307,
0.41307,
0.41307,
0.41307,
0.41307
],
[
0.5081,
0.5081,
0.5081,
0.5081,
0.5081,
0.5081,
0.5081,
0.5081,
0.5081,
0.5081,
0.5081,
0.5081,
0.5081,
0.5081,
0.5081
]
]
},
"cell_rise,delay_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00284,
0.01227,
0.01415,
0.01641,
0.01912,
0.02237,
0.02627,
0.03095,
0.03657,
0.04332,
0.05141,
0.06112,
0.07278,
0.08677,
0.10356
],
"values": [
[
0.02697,
0.02697,
0.02697,
0.02697,
0.02697,
0.02697,
0.02697,
0.02697,
0.02697,
0.02697,
0.02697,
0.02697,
0.02697,
0.02697,
0.02697
],
[
0.03007,
0.03007,
0.03007,
0.03007,
0.03007,
0.03007,
0.03007,
0.03007,
0.03007,
0.03007,
0.03007,
0.03007,
0.03007,
0.03007,
0.03007
],
[
0.03542,
0.03542,
0.03542,
0.03542,
0.03542,
0.03542,
0.03542,
0.03542,
0.03542,
0.03542,
0.03542,
0.03542,
0.03542,
0.03542,
0.03542
],
[
0.04482,
0.04482,
0.04482,
0.04482,
0.04482,
0.04482,
0.04482,
0.04482,
0.04482,
0.04482,
0.04482,
0.04482,
0.04482,
0.04482,
0.04482
],
[
0.05927,
0.05927,
0.05927,
0.05927,
0.05927,
0.05927,
0.05927,
0.05927,
0.05927,
0.05927,
0.05927,
0.05927,
0.05927,
0.05927,
0.05927
],
[
0.08253,
0.08253,
0.08253,
0.08253,
0.08253,
0.08253,
0.08253,
0.08253,
0.08253,
0.08253,
0.08253,
0.08253,
0.08253,
0.08253,
0.08253
],
[
0.11193,
0.11193,
0.11193,
0.11193,
0.11193,
0.11193,
0.11193,
0.11193,
0.11193,
0.11193,
0.11193,
0.11193,
0.11193,
0.11193,
0.11193
],
[
0.08073,
0.08073,
0.08073,
0.08073,
0.08073,
0.08073,
0.08073,
0.08073,
0.08073,
0.08073,
0.08073,
0.08073,
0.08073,
0.08073,
0.08073
],
[
0.09015,
0.09015,
0.09015,
0.09015,
0.09015,
0.09015,
0.09015,
0.09015,
0.09015,
0.09015,
0.09015,
0.09015,
0.09015,
0.09015,
0.09015
],
[
0.12188,
0.12188,
0.12188,
0.12188,
0.12188,
0.12188,
0.12188,
0.12188,
0.12188,
0.12188,
0.12188,
0.12188,
0.12188,
0.12188,
0.12188
],
[
0.17283,
0.17283,
0.17283,
0.17283,
0.17283,
0.17283,
0.17283,
0.17283,
0.17283,
0.17283,
0.17283,
0.17283,
0.17283,
0.17283,
0.17283
],
[
0.25067,
0.25067,
0.25067,
0.25067,
0.25067,
0.25067,
0.25067,
0.25067,
0.25067,
0.25067,
0.25067,
0.25067,
0.25067,
0.25067,
0.25067
],
[
0.3682,
0.3682,
0.3682,
0.3682,
0.3682,
0.3682,
0.3682,
0.3682,
0.3682,
0.3682,
0.3682,
0.3682,
0.3682,
0.3682,
0.3682
]
]
},
"fall_transition,delay_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00284,
0.01227,
0.01415,
0.01641,
0.01912,
0.02237,
0.02627,
0.03095,
0.03657,
0.04332,
0.05141,
0.06112,
0.07278,
0.08677,
0.10356
],
"values": [
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
]
]
},
"related_pin": "TE",
"rise_transition,delay_template13x15": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00284,
0.01227,
0.01415,
0.01641,
0.01912,
0.02237,
0.02627,
0.03095,
0.03657,
0.04332,
0.05141,
0.06112,
0.07278,
0.08677,
0.10356
],
"values": [
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
]
]
},
"timing_sense": "negative_unate",
"timing_type": "three_state_disable"
}
]
}
}