blob: 168b6546154fc4ed999925c1d4d362dfb5fb9df1 [file] [log] [blame]
{
"area": 11.1888,
"cell_footprint": "sky130_fd_sc_hs__einvn",
"cell_leakage_power": 298.76233,
"leakage_power": [
{
"value": 1.93124,
"when": "!A&!TE_B"
},
{
"value": 381.44447,
"when": "!A&TE_B"
},
{
"value": 429.12506,
"when": "A&!TE_B"
},
{
"value": 382.54856,
"when": "A&TE_B"
}
],
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,A": {
"capacitance": 0.0052,
"clock": "false",
"direction": "input",
"internal_power": {
"fall_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.00735,
0.00481,
0.0049,
0.00526,
0.00478,
0.00477,
0.00474,
0.00473,
0.00471,
0.00512,
0.00512,
0.00549,
0.00549
]
},
"rise_power,hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.00327,
0.00438,
0.00285,
0.0032,
0.00319,
0.00319,
0.00321,
0.0032,
0.00325,
0.00352,
0.00354,
0.0038,
0.00382
]
}
},
"max_transition": 1.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR"
},
"pin,TE_B": {
"capacitance": 0.0048,
"ccsn_first_stage": {
"dc_current,ccsn_dc": {
"index_1": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"index_2": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"values": [
[
1.58698,
0.313098,
0.286833,
0.274866,
0.260553,
0.252527,
0.243933,
0.234776,
0.225064,
0.214803,
0.203999,
0.192658,
0.180789,
0.168398,
0.155492,
0.14208,
0.128169,
0.113769,
0.0988875,
0.0835336,
0.0677164,
0.051445,
0.0347288,
0.0175773,
-2.47427e-09,
-0.0349304,
-0.0690308,
-0.18435,
-1.00194
],
[
1.5193,
0.215364,
0.201681,
0.196784,
0.191524,
0.18868,
0.185616,
0.182234,
0.178386,
0.173886,
0.168573,
0.16237,
0.155256,
0.14723,
0.138294,
0.128451,
0.117705,
0.10606,
0.0935221,
0.0800975,
0.0657944,
0.0506222,
0.0345912,
0.017713,
-6.38188e-09,
-0.0363464,
-0.0729946,
-0.197229,
-1.00716
],
[
1.46307,
0.130634,
0.12193,
0.118997,
0.115974,
0.114418,
0.112822,
0.111177,
0.109468,
0.107671,
0.105752,
0.103648,
0.101251,
0.0983758,
0.0947747,
0.0902372,
0.0846574,
0.077993,
0.0702249,
0.0613413,
0.0513346,
0.0401994,
0.0279323,
0.0145323,
-2.14456e-09,
-0.0315418,
-0.0654292,
-0.186029,
-1.00704
],
[
1.44637,
0.103432,
0.0951748,
0.0927487,
0.0902685,
0.0890023,
0.0877143,
0.0863995,
0.0850513,
0.0836599,
0.0822109,
0.0806813,
0.0790324,
0.0771938,
0.0750316,
0.0723142,
0.0687646,
0.064194,
0.0585197,
0.0517058,
0.0437322,
0.0345848,
0.0242524,
0.0127263,
1.79787e-09,
-0.0285222,
-0.0602805,
-0.17687,
-1.00555
],
[
1.43319,
0.0850815,
0.070281,
0.0682971,
0.0662844,
0.0652645,
0.0642334,
0.0631889,
0.0621281,
0.0610469,
0.0599397,
0.0587978,
0.0576082,
0.0563487,
0.0549792,
0.0534185,
0.0514956,
0.0489193,
0.0454039,
0.0407985,
0.0350418,
0.028103,
0.0199608,
0.010598,
6.11753e-09,
-0.0248178,
-0.0537832,
-0.164607,
-1.00347
],
[
1.42768,
0.0834386,
0.0588877,
0.0571039,
0.0553011,
0.0543908,
0.0534731,
0.0525465,
0.0516093,
0.0506589,
0.0496916,
0.0487025,
0.0476839,
0.0466235,
0.0455004,
0.0442741,
0.0428568,
0.0410516,
0.0385355,
0.0350318,
0.0304127,
0.024626,
0.0176425,
0.00944009,
8.03564e-09,
-0.0227446,
-0.0500754,
-0.157315,
-1.00219
],
[
1.42276,
0.0868176,
0.0483603,
0.0467642,
0.0451583,
0.0443502,
0.0435377,
0.0427201,
0.041896,
0.0410641,
0.0402221,
0.039367,
0.0384946,
0.0375981,
0.0366666,
0.0356805,
0.0345995,
0.0333273,
0.0316353,
0.0291707,
0.0256779,
0.0210519,
0.0152482,
0.00823859,
9.59694e-09,
-0.0205526,
-0.0461038,
-0.149282,
-1.00077
],
[
1.41842,
0.0932221,
0.0388023,
0.0373775,
0.0359574,
0.0352454,
0.0345315,
0.0338153,
0.033096,
0.0323728,
0.0316445,
0.0309093,
0.0301648,
0.0294076,
0.028632,
0.0278287,
0.0269797,
0.0260452,
0.0249187,
0.0233319,
0.0209113,
0.0174322,
0.0128113,
0.00700977,
1.04018e-08,
-0.018268,
-0.0419102,
-0.140553,
-0.999194
],
[
1.41468,
0.100982,
0.0303377,
0.0290192,
0.0277762,
0.0271554,
0.0265347,
0.0259139,
0.0252927,
0.0246706,
0.0240468,
0.0234207,
0.0227908,
0.0221555,
0.0215119,
0.0208558,
0.0201792,
0.0194666,
0.0186791,
0.0176964,
0.0162047,
0.0138236,
0.0103673,
0.0057709,
8.38082e-09,
-0.01592,
-0.0375431,
-0.131188,
-0.997487
],
[
1.41158,
0.109399,
0.0233774,
0.0217409,
0.0206661,
0.0201327,
0.019601,
0.0190711,
0.0185427,
0.0180157,
0.0174897,
0.0169644,
0.0164393,
0.0159134,
0.0153856,
0.014854,
0.0143153,
0.0137635,
0.0131856,
0.012544,
0.0117014,
0.0102941,
0.00795485,
0.00454006,
-2.2072e-08,
-0.0135399,
-0.0330561,
-0.121259,
-0.995655
],
[
1.40915,
0.118395,
0.0195111,
0.0155835,
0.0146518,
0.0142029,
0.0137572,
0.0133145,
0.012875,
0.0124387,
0.0120053,
0.0115749,
0.0111473,
0.0107221,
0.0102989,
0.00987706,
0.00945531,
0.00903157,
0.0086017,
0.00815546,
0.00765746,
0.00694954,
0.00561948,
0.00333661,
-3.87339e-07,
-0.0111606,
-0.0285071,
-0.11086,
-0.993716
],
[
1.40738,
0.128084,
0.0204274,
0.0106967,
0.00973246,
0.00936529,
0.009003,
0.00864507,
0.00829154,
0.0079425,
0.007598,
0.00725809,
0.0069228,
0.00659212,
0.00626599,
0.00594426,
0.00562664,
0.00531258,
0.00500097,
0.00468936,
0.00437063,
0.00401291,
0.00343363,
0.0021834,
-4.59593e-06,
-0.00881958,
-0.0239611,
-0.100111,
-0.991697
],
[
1.40627,
0.138571,
0.0250866,
0.00797288,
0.00588428,
0.00558992,
0.00530828,
0.00503233,
0.00476193,
0.00449715,
0.00423812,
0.00398495,
0.00373778,
0.00349674,
0.00326193,
0.00303346,
0.00281141,
0.00259577,
0.00238646,
0.00218311,
0.00198464,
0.00178694,
0.00156325,
0.00110229,
-4.82357e-05,
-0.00659333,
-0.0195282,
-0.0892338,
-0.989725
],
[
1.4058,
0.149893,
0.0318846,
0.00905577,
0.00306741,
0.00277229,
0.00255762,
0.00235313,
0.00215585,
0.00196532,
0.00178147,
0.00160433,
0.00143398,
0.00127054,
0.00111409,
0.000964729,
0.000822525,
0.000687512,
0.000559676,
0.000438929,
0.000325049,
0.000217468,
0.000113933,
-1.027e-05,
-0.000410682,
-0.00483888,
-0.0156265,
-0.0789153,
-0.988465
],
[
1.40593,
0.162035,
0.0400385,
0.0131348,
0.00123703,
0.000397875,
0.000130363,
-7.21217e-05,
-0.000255821,
-0.000428478,
-0.000592801,
-0.000750118,
-0.000901247,
-0.0010468,
-0.0011873,
-0.00132327,
-0.00145521,
-0.00158365,
-0.00170915,
-0.00183226,
-0.00195356,
-0.00207364,
-0.00219319,
-0.00231426,
-0.0024889,
-0.00513991,
-0.0139392,
-0.0712807,
-0.989954
],
[
1.40662,
0.174944,
0.0491649,
0.0183951,
0.000322547,
-0.00270028,
-0.00382465,
-0.00432882,
-0.00469339,
-0.00500586,
-0.00529172,
-0.00556176,
-0.00582162,
-0.0060747,
-0.00632322,
-0.00656873,
-0.00681238,
-0.00705504,
-0.00729736,
-0.00753988,
-0.00778301,
-0.0080271,
-0.00827244,
-0.00851942,
-0.00877632,
-0.0102298,
-0.0170943,
-0.0688572,
-0.995658
],
[
1.40783,
0.188527,
0.0588811,
0.0240746,
5.37291e-05,
-0.00629045,
-0.0098058,
-0.0114009,
-0.0122908,
-0.0129456,
-0.0134952,
-0.013987,
-0.0144433,
-0.0148758,
-0.0152916,
-0.0156954,
-0.0160903,
-0.0164784,
-0.0168613,
-0.0172403,
-0.0176162,
-0.0179898,
-0.0183617,
-0.0187323,
-0.0191035,
-0.0200629,
-0.0248738,
-0.0709842,
-1.00416
],
[
1.40949,
0.202508,
0.0686224,
0.0297015,
8.46799e-06,
-0.00973187,
-0.0162686,
-0.0199162,
-0.021795,
-0.0229962,
-0.0239161,
-0.0246913,
-0.0253804,
-0.026013,
-0.0266063,
-0.0271707,
-0.0277134,
-0.0282391,
-0.0287513,
-0.0292527,
-0.0297452,
-0.0302305,
-0.0307096,
-0.0311836,
-0.0316536,
-0.0326176,
-0.0355946,
-0.0760027,
-1.01398
],
[
1.41142,
0.21649,
0.077976,
0.0350457,
1.4524e-06,
-0.0129234,
-0.0224803,
-0.028715,
-0.032114,
-0.0340971,
-0.0354981,
-0.0366159,
-0.037572,
-0.038425,
-0.0392073,
-0.0399383,
-0.0406308,
-0.0412933,
-0.041932,
-0.0425513,
-0.0431547,
-0.0437449,
-0.0443238,
-0.0448932,
-0.0454546,
-0.0465631,
-0.0483786,
-0.0831411,
-1.0246
],
[
1.41341,
0.230282,
0.0867858,
0.0400553,
2.57533e-07,
-0.0158868,
-0.0283362,
-0.0373118,
-0.0427205,
-0.0457705,
-0.0477832,
-0.0493115,
-0.0505739,
-0.0516717,
-0.0526588,
-0.0535667,
-0.0544155,
-0.0552188,
-0.055986,
-0.0567239,
-0.0574378,
-0.0581316,
-0.0588084,
-0.0594706,
-0.0601204,
-0.0613906,
-0.0627873,
-0.0920658,
-1.03577
],
[
1.41539,
0.243818,
0.094989,
0.0447146,
4.69719e-08,
-0.0186402,
-0.0338304,
-0.0455187,
-0.053285,
-0.0577315,
-0.0605137,
-0.0625316,
-0.0641451,
-0.0655152,
-0.0667248,
-0.0678216,
-0.0688351,
-0.0697847,
-0.0706841,
-0.0715429,
-0.0723685,
-0.0731663,
-0.0739406,
-0.0746949,
-0.075432,
-0.0768639,
-0.0782786,
-0.102537,
-1.04738
],
[
1.41735,
0.257051,
0.102561,
0.0490168,
9.60409e-09,
-0.021189,
-0.0389561,
-0.0532519,
-0.0635493,
-0.0697415,
-0.0734849,
-0.0760864,
-0.0781023,
-0.0797756,
-0.0812279,
-0.082527,
-0.0837143,
-0.0848168,
-0.0858528,
-0.0868356,
-0.0877749,
-0.0886779,
-0.0895503,
-0.0903967,
-0.0912206,
-0.092813,
-0.0943542,
-0.114333,
-1.05928
],
[
1.41929,
0.26993,
0.109498,
0.0529615,
2.98632e-09,
-0.0235343,
-0.0437051,
-0.0604683,
-0.073319,
-0.0815792,
-0.0865143,
-0.0898137,
-0.0922927,
-0.094305,
-0.0960225,
-0.0975391,
-0.0989107,
-0.100173,
-0.101352,
-0.102462,
-0.103518,
-0.104528,
-0.105499,
-0.106438,
-0.107349,
-0.109102,
-0.110785,
-0.127252,
-1.07138
],
[
1.4212,
0.282399,
0.115812,
0.0565545,
1.86198e-09,
-0.0256784,
-0.0480738,
-0.0671471,
-0.0824688,
-0.0930394,
-0.0994343,
-0.103572,
-0.106588,
-0.108981,
-0.11099,
-0.112741,
-0.114309,
-0.115741,
-0.117068,
-0.11831,
-0.119486,
-0.120605,
-0.121678,
-0.122711,
-0.12371,
-0.125623,
-0.127449,
-0.141111,
-1.0836
],
[
1.42308,
0.294395,
0.121524,
0.0598061,
1.75581e-09,
-0.0276255,
-0.052065,
-0.0732824,
-0.0909339,
-0.10394,
-0.112086,
-0.117236,
-0.120878,
-0.123702,
-0.126034,
-0.12804,
-0.129819,
-0.131429,
-0.132911,
-0.134292,
-0.135592,
-0.136824,
-0.138,
-0.139128,
-0.140216,
-0.142292,
-0.144262,
-0.155751,
-1.09587
],
[
1.42673,
0.316651,
0.131253,
0.0653448,
2.07957e-09,
-0.0309586,
-0.058955,
-0.0839556,
-0.105754,
-0.123523,
-0.135958,
-0.143804,
-0.149061,
-0.152948,
-0.156043,
-0.158637,
-0.160889,
-0.162895,
-0.164716,
-0.166393,
-0.167956,
-0.169426,
-0.170819,
-0.172146,
-0.173419,
-0.175827,
-0.178092,
-0.186846,
-1.1204
],
[
1.4302,
0.335967,
0.138939,
0.0697184,
2.76982e-09,
-0.033609,
-0.0644961,
-0.0926281,
-0.117881,
-0.139765,
-0.156947,
-0.168557,
-0.176125,
-0.181457,
-0.185538,
-0.188857,
-0.191676,
-0.194142,
-0.19635,
-0.19836,
-0.200215,
-0.201944,
-0.203571,
-0.205112,
-0.206581,
-0.20934,
-0.211911,
-0.219668,
-1.14472
],
[
1.43903,
0.370592,
0.152474,
0.0774092,
8.74452e-09,
-0.0383606,
-0.0746708,
-0.1089,
-0.141005,
-0.170898,
-0.198341,
-0.222641,
-0.242338,
-0.256534,
-0.266405,
-0.273607,
-0.2792,
-0.28377,
-0.287648,
-0.291031,
-0.294047,
-0.29678,
-0.299288,
-0.301615,
-0.303792,
-0.307789,
-0.311417,
-0.320982,
-1.21569
],
[
1.44384,
0.378131,
0.156482,
0.0797563,
4.42329e-08,
-0.0401066,
-0.0789182,
-0.116414,
-0.152575,
-0.187379,
-0.220802,
-0.252809,
-0.283341,
-0.312273,
-0.339308,
-0.363765,
-0.384506,
-0.400801,
-0.413182,
-0.422762,
-0.430453,
-0.436849,
-0.442327,
-0.447128,
-0.451412,
-0.458849,
-0.465209,
-0.480508,
-1.32819
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.00058,
"miller_cap_rise": 0.000497,
"output_voltage_fall": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0193168,
0.02999819,
0.04077661,
0.0515409,
0.066075
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.08475871,
0.09878324,
0.1097146,
0.1204745,
0.1349367
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
}
]
},
"output_voltage_rise": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.03070634,
0.04894686,
0.07011449,
0.09904104,
0.1470297
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.1000601,
0.1185123,
0.1397279,
0.1686556,
0.2167126
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
}
]
},
"propagated_noise_high": {
"vector,ccsn_pnlh": [
{
"index_1": [
1.01640625
],
"index_2": [
1.53631511932694
],
"index_3": [
0.001
],
"index_4": [
0.7707467,
0.82929,
0.9744099,
1.169164,
1.266259
],
"values": [
1.18080795,
0.92929272,
0.7616159,
0.92929272,
1.18080795
]
},
{
"index_1": [
1.13984375
],
"index_2": [
0.676871853930666
],
"index_3": [
0.001
],
"index_4": [
0.3431686,
0.3781442,
0.4703838,
0.5736487,
0.6263557
],
"values": [
1.159788,
0.8956608,
0.719576,
0.8956608,
1.159788
]
},
{
"index_1": [
1.25234375
],
"index_2": [
0.399958424283404
],
"index_3": [
0.001
],
"index_4": [
0.2051038,
0.2303876,
0.2953971,
0.3644611,
0.4000865
],
"values": [
1.15247885,
0.88396616,
0.7049577,
0.88396616,
1.15247885
]
},
{
"index_1": [
1.01640625
],
"index_2": [
0.768157559663472
],
"index_3": [
0.001
],
"index_4": [
0.3920767,
0.4235279,
0.5227912,
0.6091974,
0.6612558
],
"values": [
1.39002935,
1.26404696,
1.1800587,
1.26404696,
1.39002935
]
},
{
"index_1": [
1.13984375
],
"index_2": [
0.338435926965333
],
"index_3": [
0.001
],
"index_4": [
0.1766167,
0.1954015,
0.2471808,
0.3009684,
0.3334257
],
"values": [
1.38857705,
1.26172328,
1.1771541,
1.26172328,
1.38857705
]
},
{
"index_1": [
1.25234375
],
"index_2": [
0.199979212141702
],
"index_3": [
0.001
],
"index_4": [
0.1067745,
0.1204833,
0.1634375,
0.1933309,
0.2156743
],
"values": [
1.3894397,
1.26310352,
1.1788794,
1.26310352,
1.3894397
]
},
{
"index_1": [
1.13984375
],
"index_2": [
1.015307780896
],
"index_3": [
0.001
],
"index_4": [
0.5112328,
0.5612834,
0.6743889,
0.831619,
0.9094024
],
"values": [
0.9336425,
0.533828,
0.267285,
0.533828,
0.9336425
]
}
]
},
"propagated_noise_low": {
"vector,ccsn_pnlh": [
{
"index_1": [
1.09765625
],
"index_2": [
2
],
"index_3": [
0.001
],
"index_4": [
0.9953872,
1.079529,
1.252702,
1.389832,
1.448753
],
"values": [
0.35514535,
0.56823256,
0.7102907,
0.56823256,
0.35514535
]
},
{
"index_1": [
1.22890625
],
"index_2": [
1.1786756597682
],
"index_3": [
0.001
],
"index_4": [
0.5865542,
0.6432386,
0.7795812,
0.8857558,
0.9282511
],
"values": [
0.4222887,
0.67566192,
0.8445774,
0.67566192,
0.4222887
]
},
{
"index_1": [
1.33203125
],
"index_2": [
0.762881396079903
],
"index_3": [
0.001
],
"index_4": [
0.3826792,
0.4239635,
0.5373998,
0.6035829,
0.6351236
],
"values": [
0.4369504,
0.69912064,
0.8739008,
0.69912064,
0.4369504
]
},
{
"index_1": [
1.09765625
],
"index_2": [
1.18028512218139
],
"index_3": [
0.001
],
"index_4": [
0.5995059,
0.6531125,
0.7572747,
0.8296624,
0.8666723
],
"values": [
0.2317844,
0.37085504,
0.4635688,
0.37085504,
0.2317844
]
},
{
"index_1": [
1.22890625
],
"index_2": [
0.589337829884099
],
"index_3": [
0.001
],
"index_4": [
0.3054017,
0.3389778,
0.402897,
0.4500005,
0.473078
],
"values": [
0.24312625,
0.389002,
0.4862525,
0.389002,
0.24312625
]
},
{
"index_1": [
1.33203125
],
"index_2": [
0.381440698039952
],
"index_3": [
0.001
],
"index_4": [
0.2012171,
0.2261402,
0.274604,
0.3066932,
0.3242795
],
"values": [
0.24820585,
0.39712936,
0.4964117,
0.39712936,
0.24820585
]
},
{
"index_1": [
1.22890625
],
"index_2": [
1.7680134896523
],
"index_3": [
0.001
],
"index_4": [
0.8621928,
0.9496153,
1.175009,
1.31743,
1.379078
],
"values": [
0.5583705,
0.8933928,
1.116741,
0.8933928,
0.5583705
]
}
]
},
"stage_type": "both"
},
"clock": "false",
"direction": "input",
"max_transition": 1.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR"
},
"pin,Z": {
"capacitance": 0.00468,
"direction": "output",
"function": "(!A)",
"internal_power": [
{
"fall_power,pwr_template13x13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00468,
0.0128,
0.01483,
0.01737,
0.02054,
0.0245,
0.02945,
0.03564,
0.04338,
0.05305,
0.06514,
0.08026,
0.09916
],
"values": [
[
0.00317,
-0.00791,
-0.01053,
-0.01391,
-0.01798,
-0.02308,
-0.02943,
-0.03736,
-0.04728,
-0.05958,
-0.07506,
-0.09442,
-0.11871
],
[
0.00322,
-0.008,
-0.01063,
-0.01392,
-0.01801,
-0.02305,
-0.02946,
-0.0374,
-0.04723,
-0.05962,
-0.0751,
-0.09446,
-0.11871
],
[
0.00339,
-0.00793,
-0.01062,
-0.01386,
-0.01795,
-0.02305,
-0.02948,
-0.03737,
-0.04732,
-0.05971,
-0.07524,
-0.0946,
-0.1188
],
[
0.00357,
-0.00791,
-0.01057,
-0.01384,
-0.01799,
-0.02306,
-0.02946,
-0.03741,
-0.04734,
-0.05978,
-0.07523,
-0.09462,
-0.1188
],
[
0.00377,
-0.0078,
-0.01049,
-0.0138,
-0.01795,
-0.02307,
-0.02944,
-0.0374,
-0.04735,
-0.05978,
-0.07526,
-0.09464,
-0.11885
],
[
0.00394,
-0.00764,
-0.01035,
-0.01371,
-0.01784,
-0.023,
-0.02939,
-0.03738,
-0.04732,
-0.05977,
-0.07527,
-0.09465,
-0.11886
],
[
0.00409,
-0.00742,
-0.01015,
-0.01354,
-0.0177,
-0.02289,
-0.0293,
-0.03731,
-0.04728,
-0.05971,
-0.07524,
-0.09463,
-0.11885
],
[
0.00422,
-0.00718,
-0.00992,
-0.01332,
-0.0175,
-0.02271,
-0.02916,
-0.03719,
-0.04719,
-0.05964,
-0.07518,
-0.09458,
-0.11882
],
[
0.00433,
-0.00692,
-0.00966,
-0.01305,
-0.01726,
-0.02248,
-0.02896,
-0.03702,
-0.04705,
-0.05953,
-0.07509,
-0.09451,
-0.11876
],
[
0.00441,
-0.00666,
-0.00939,
-0.01279,
-0.01699,
-0.02222,
-0.02871,
-0.03678,
-0.04684,
-0.05935,
-0.07494,
-0.09438,
-0.11866
],
[
0.00446,
-0.00644,
-0.00915,
-0.01252,
-0.01672,
-0.02194,
-0.02843,
-0.03651,
-0.04658,
-0.05912,
-0.07474,
-0.09421,
-0.11851
],
[
0.00448,
-0.00627,
-0.00894,
-0.01229,
-0.01646,
-0.02166,
-0.02814,
-0.03623,
-0.0463,
-0.05885,
-0.07448,
-0.09398,
-0.11831
],
[
0.00446,
-0.00616,
-0.00881,
-0.01212,
-0.01626,
-0.02151,
-0.02795,
-0.03599,
-0.04598,
-0.05852,
-0.07416,
-0.09368,
-0.11803
]
]
},
"related_pin": "A",
"rise_power,pwr_template13x13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00468,
0.0128,
0.01483,
0.01737,
0.02054,
0.0245,
0.02945,
0.03564,
0.04338,
0.05305,
0.06514,
0.08026,
0.09916
],
"values": [
[
0.01026,
0.02163,
0.02426,
0.02759,
0.03168,
0.03674,
0.0431,
0.05093,
0.06077,
0.073,
0.08836,
0.10735,
0.1315
],
[
0.00997,
0.02147,
0.02413,
0.02741,
0.03151,
0.03663,
0.04297,
0.05082,
0.06067,
0.07286,
0.08817,
0.10745,
0.13133
],
[
0.00986,
0.02132,
0.02403,
0.02728,
0.03139,
0.03648,
0.04289,
0.05075,
0.06062,
0.07288,
0.08827,
0.10728,
0.13146
],
[
0.0098,
0.02113,
0.02381,
0.02712,
0.03123,
0.03638,
0.04273,
0.05073,
0.06048,
0.07287,
0.08817,
0.10743,
0.13118
],
[
0.0097,
0.02087,
0.02357,
0.02689,
0.03105,
0.03621,
0.04256,
0.05047,
0.06034,
0.07275,
0.08806,
0.1073,
0.13112
],
[
0.00964,
0.02064,
0.02333,
0.02668,
0.03078,
0.03596,
0.0424,
0.05037,
0.06026,
0.07251,
0.08803,
0.1072,
0.1311
],
[
0.0096,
0.02045,
0.0231,
0.02645,
0.03055,
0.03569,
0.04216,
0.05013,
0.06011,
0.07234,
0.08786,
0.10698,
0.13096
],
[
0.00958,
0.02029,
0.02293,
0.02624,
0.03035,
0.03554,
0.04186,
0.04985,
0.05979,
0.07221,
0.08771,
0.10676,
0.13103
],
[
0.00958,
0.02016,
0.02278,
0.02607,
0.03016,
0.03528,
0.04163,
0.04959,
0.0596,
0.07188,
0.08745,
0.10677,
0.13066
],
[
0.00957,
0.02005,
0.02266,
0.02593,
0.03,
0.0351,
0.04146,
0.04948,
0.05926,
0.07164,
0.08718,
0.10633,
0.1305
],
[
0.00958,
0.02004,
0.02263,
0.02591,
0.02991,
0.03512,
0.04129,
0.04919,
0.0591,
0.07149,
0.08678,
0.10607,
0.13012
],
[
0.00961,
0.02005,
0.02262,
0.02586,
0.0299,
0.03493,
0.04123,
0.04909,
0.05888,
0.07119,
0.08663,
0.10573,
0.12976
],
[
0.00969,
0.02003,
0.02266,
0.02592,
0.02993,
0.03494,
0.04116,
0.04899,
0.05887,
0.07112,
0.08639,
0.10552,
0.12971
]
]
}
},
{
"fall_power,pwr_template13x13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00468,
0.0128,
0.01483,
0.01737,
0.02054,
0.0245,
0.02945,
0.03564,
0.04338,
0.05305,
0.06514,
0.08026,
0.09916
],
"values": [
[
0.00317,
-0.00791,
-0.01053,
-0.01391,
-0.01798,
-0.02308,
-0.02943,
-0.03736,
-0.04728,
-0.05958,
-0.07506,
-0.09442,
-0.11871
],
[
0.00322,
-0.008,
-0.01063,
-0.01392,
-0.01801,
-0.02305,
-0.02946,
-0.0374,
-0.04723,
-0.05962,
-0.0751,
-0.09446,
-0.11871
],
[
0.00339,
-0.00793,
-0.01062,
-0.01386,
-0.01795,
-0.02305,
-0.02948,
-0.03737,
-0.04732,
-0.05971,
-0.07524,
-0.0946,
-0.1188
],
[
0.00357,
-0.00791,
-0.01057,
-0.01384,
-0.01799,
-0.02306,
-0.02946,
-0.03741,
-0.04734,
-0.05978,
-0.07523,
-0.09462,
-0.1188
],
[
0.00377,
-0.0078,
-0.01049,
-0.0138,
-0.01795,
-0.02307,
-0.02944,
-0.0374,
-0.04735,
-0.05978,
-0.07526,
-0.09464,
-0.11885
],
[
0.00394,
-0.00764,
-0.01035,
-0.01371,
-0.01784,
-0.023,
-0.02939,
-0.03738,
-0.04732,
-0.05977,
-0.07527,
-0.09465,
-0.11886
],
[
0.00409,
-0.00742,
-0.01015,
-0.01354,
-0.0177,
-0.02289,
-0.0293,
-0.03731,
-0.04728,
-0.05971,
-0.07524,
-0.09463,
-0.11885
],
[
0.00422,
-0.00718,
-0.00992,
-0.01332,
-0.0175,
-0.02271,
-0.02916,
-0.03719,
-0.04719,
-0.05964,
-0.07518,
-0.09458,
-0.11882
],
[
0.00433,
-0.00692,
-0.00966,
-0.01305,
-0.01726,
-0.02248,
-0.02896,
-0.03702,
-0.04705,
-0.05953,
-0.07509,
-0.09451,
-0.11876
],
[
0.00441,
-0.00666,
-0.00939,
-0.01279,
-0.01699,
-0.02222,
-0.02871,
-0.03678,
-0.04684,
-0.05935,
-0.07494,
-0.09438,
-0.11866
],
[
0.00446,
-0.00644,
-0.00915,
-0.01252,
-0.01672,
-0.02194,
-0.02843,
-0.03651,
-0.04658,
-0.05912,
-0.07474,
-0.09421,
-0.11851
],
[
0.00448,
-0.00627,
-0.00894,
-0.01229,
-0.01646,
-0.02166,
-0.02814,
-0.03623,
-0.0463,
-0.05885,
-0.07448,
-0.09398,
-0.11831
],
[
0.00446,
-0.00616,
-0.00881,
-0.01212,
-0.01626,
-0.02151,
-0.02795,
-0.03599,
-0.04598,
-0.05852,
-0.07416,
-0.09368,
-0.11803
]
]
},
"related_pin": "TE_B",
"rise_power,pwr_template13x13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00468,
0.0128,
0.01483,
0.01737,
0.02054,
0.0245,
0.02945,
0.03564,
0.04338,
0.05305,
0.06514,
0.08026,
0.09916
],
"values": [
[
0.01026,
0.02163,
0.02426,
0.02759,
0.03168,
0.03674,
0.0431,
0.05093,
0.06077,
0.073,
0.08836,
0.10735,
0.1315
],
[
0.00997,
0.02147,
0.02413,
0.02741,
0.03151,
0.03663,
0.04297,
0.05082,
0.06067,
0.07286,
0.08817,
0.10745,
0.13133
],
[
0.00986,
0.02132,
0.02403,
0.02728,
0.03139,
0.03648,
0.04289,
0.05075,
0.06062,
0.07288,
0.08827,
0.10728,
0.13146
],
[
0.0098,
0.02113,
0.02381,
0.02712,
0.03123,
0.03638,
0.04273,
0.05073,
0.06048,
0.07287,
0.08817,
0.10743,
0.13118
],
[
0.0097,
0.02087,
0.02357,
0.02689,
0.03105,
0.03621,
0.04256,
0.05047,
0.06034,
0.07275,
0.08806,
0.1073,
0.13112
],
[
0.00964,
0.02064,
0.02333,
0.02668,
0.03078,
0.03596,
0.0424,
0.05037,
0.06026,
0.07251,
0.08803,
0.1072,
0.1311
],
[
0.0096,
0.02045,
0.0231,
0.02645,
0.03055,
0.03569,
0.04216,
0.05013,
0.06011,
0.07234,
0.08786,
0.10698,
0.13096
],
[
0.00958,
0.02029,
0.02293,
0.02624,
0.03035,
0.03554,
0.04186,
0.04985,
0.05979,
0.07221,
0.08771,
0.10676,
0.13103
],
[
0.00958,
0.02016,
0.02278,
0.02607,
0.03016,
0.03528,
0.04163,
0.04959,
0.0596,
0.07188,
0.08745,
0.10677,
0.13066
],
[
0.00957,
0.02005,
0.02266,
0.02593,
0.03,
0.0351,
0.04146,
0.04948,
0.05926,
0.07164,
0.08718,
0.10633,
0.1305
],
[
0.00958,
0.02004,
0.02263,
0.02591,
0.02991,
0.03512,
0.04129,
0.04919,
0.0591,
0.07149,
0.08678,
0.10607,
0.13012
],
[
0.00961,
0.02005,
0.02262,
0.02586,
0.0299,
0.03493,
0.04123,
0.04909,
0.05888,
0.07119,
0.08663,
0.10573,
0.12976
],
[
0.00969,
0.02003,
0.02266,
0.02592,
0.02993,
0.03494,
0.04116,
0.04899,
0.05887,
0.07112,
0.08639,
0.10552,
0.12971
]
]
}
}
],
"max_capacitance": 0.06723,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"three_state": "(TE_B)",
"timing": [
{
"ccsn_first_stage": {
"dc_current,ccsn_dc": {
"index_1": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"index_2": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"values": [
[
3.04464,
0.15708,
0.153319,
0.15092,
0.147596,
0.145627,
0.143476,
0.141154,
0.138668,
0.136018,
0.133195,
0.130175,
0.126913,
0.123319,
0.119205,
0.114197,
0.107805,
0.0997228,
0.0899125,
0.0784555,
0.0654601,
0.0510298,
0.0352572,
0.0182237,
5.66257e-08,
-0.0392446,
-0.0814534,
-0.233727,
-2.31341
],
[
3.01963,
0.13247,
0.1301,
0.129362,
0.128544,
0.128091,
0.127593,
0.127026,
0.126336,
0.125421,
0.124158,
0.122462,
0.120262,
0.117449,
0.113834,
0.109156,
0.103164,
0.0956941,
0.0866672,
0.0760597,
0.0638781,
0.0501457,
0.0348959,
0.0181671,
2.6452e-09,
-0.0399042,
-0.0837528,
-0.245088,
-2.3172
],
[
3.00193,
0.111524,
0.107194,
0.105969,
0.104656,
0.103961,
0.103236,
0.102476,
0.101675,
0.100822,
0.0999059,
0.0989022,
0.0977682,
0.0964038,
0.094563,
0.0918493,
0.0879366,
0.0826357,
0.0758226,
0.0674078,
0.0573307,
0.0455563,
0.0320714,
0.0168803,
-3.12867e-08,
-0.0381809,
-0.0814216,
-0.245143,
-2.31835
],
[
2.99843,
0.103272,
0.0936404,
0.0921647,
0.0906203,
0.0898185,
0.0889939,
0.0881437,
0.0872641,
0.0863498,
0.0853937,
0.0843853,
0.0833081,
0.0821337,
0.0808022,
0.0791561,
0.076807,
0.0732406,
0.0681342,
0.061334,
0.0527533,
0.0423418,
0.0300768,
0.0159577,
-4.6179e-08,
-0.0368292,
-0.0793277,
-0.242904,
-2.31825
],
[
2.99919,
0.116154,
0.0770642,
0.0754627,
0.0738135,
0.0729685,
0.0721082,
0.0712309,
0.0703343,
0.0694157,
0.0684712,
0.0674957,
0.0664819,
0.0654187,
0.0642882,
0.0630565,
0.061641,
0.0597875,
0.0569092,
0.0524132,
0.0460191,
0.0376124,
0.0271431,
0.0146002,
-1.07925e-07,
-0.0348404,
-0.0761943,
-0.239021,
-2.31787
],
[
2.99984,
0.143654,
0.0680056,
0.0663837,
0.064725,
0.0638796,
0.0630223,
0.0621518,
0.0612664,
0.0603642,
0.0594425,
0.0584977,
0.0575248,
0.0565168,
0.0554629,
0.0543449,
0.0531262,
0.0517085,
0.0497646,
0.0465885,
0.0415754,
0.034475,
0.0251922,
0.0136969,
-3.25019e-09,
-0.0335311,
-0.0741289,
-0.236335,
-2.31755
],
[
2.99991,
0.185866,
0.0586528,
0.0570316,
0.0553897,
0.054557,
0.0537155,
0.0528644,
0.0520025,
0.0511284,
0.0502402,
0.0493357,
0.0484115,
0.0474631,
0.0464839,
0.0454636,
0.0443842,
0.043207,
0.0418129,
0.0397768,
0.0362675,
0.0306899,
0.0228254,
0.0125981,
-3.46122e-09,
-0.0319569,
-0.0716551,
-0.233073,
-2.31713
],
[
2.99926,
0.235317,
0.0492214,
0.0475819,
0.045983,
0.0451759,
0.0443631,
0.043544,
0.0427178,
0.0418837,
0.0410404,
0.0401865,
0.0393199,
0.0384378,
0.0375363,
0.0366096,
0.0356482,
0.034635,
0.0335291,
0.0321842,
0.0300456,
0.0261584,
0.0199595,
0.0112589,
-5.40797e-09,
-0.0300635,
-0.0687011,
-0.229164,
-2.31661
],
[
2.99795,
0.284019,
0.040182,
0.0382307,
0.0367012,
0.0359335,
0.035163,
0.0343894,
0.0336123,
0.0328312,
0.0320455,
0.0312542,
0.0304562,
0.02965,
0.0288332,
0.0280028,
0.027154,
0.0262788,
0.0253615,
0.0243595,
0.0230897,
0.0208283,
0.0165124,
0.00962605,
-2.71887e-08,
-0.0277823,
-0.0651788,
-0.224531,
-2.31596
],
[
2.99618,
0.328259,
0.033434,
0.0292122,
0.0277709,
0.0270583,
0.0263459,
0.0256337,
0.0249214,
0.024209,
0.0234962,
0.0227825,
0.0220675,
0.0213504,
0.0206303,
0.0199056,
0.0191742,
0.0184325,
0.0176743,
0.0168865,
0.0160257,
0.0148579,
0.0124445,
0.00764736,
-2.64956e-07,
-0.0250257,
-0.0609794,
-0.219084,
-2.31518
],
[
2.99421,
0.36787,
0.0353057,
0.0208846,
0.0194648,
0.0188266,
0.0181923,
0.0175616,
0.0169345,
0.0163113,
0.0156919,
0.0150763,
0.0144646,
0.0138565,
0.013252,
0.0126505,
0.0120514,
0.0114535,
0.0108548,
0.0102513,
0.00963303,
0.00895761,
0.00791837,
0.00531454,
-2.83536e-06,
-0.0216849,
-0.0559689,
-0.212724,
-2.31427
],
[
2.9923,
0.403743,
0.0513212,
0.0142686,
0.0121289,
0.0115886,
0.0110593,
0.0105378,
0.0100243,
0.00951896,
0.0090222,
0.00853429,
0.00805553,
0.00758621,
0.00712656,
0.00667678,
0.006237,
0.00580718,
0.00538708,
0.00497597,
0.00457191,
0.00416845,
0.00372489,
0.00281267,
-2.95616e-05,
-0.0176514,
-0.0500034,
-0.20537,
-2.3133
],
[
2.99072,
0.436555,
0.0765908,
0.0134672,
0.00622548,
0.00578398,
0.00539149,
0.00501272,
0.00464666,
0.00429337,
0.00395309,
0.00362606,
0.00331251,
0.00301263,
0.00272657,
0.00245441,
0.00219615,
0.00195171,
0.00172087,
0.00150326,
0.00129823,
0.00110445,
0.000917368,
0.000686611,
-0.000277569,
-0.0130416,
-0.0431248,
-0.197248,
-2.31302
],
[
2.98978,
0.466572,
0.103764,
0.0246328,
0.00231339,
0.00168585,
0.00140144,
0.001147,
0.000908126,
0.000681759,
0.000466478,
0.000261296,
6.53554e-05,
-0.00012216,
-0.00030207,
-0.000475205,
-0.000642409,
-0.000804534,
-0.000962438,
-0.00111698,
-0.00126901,
-0.00141947,
-0.00156958,
-0.00172462,
-0.00204436,
-0.00954195,
-0.0367084,
-0.190294,
-2.31704
],
[
2.98987,
0.493919,
0.128543,
0.0421903,
0.000568691,
-0.00234011,
-0.00300687,
-0.00344209,
-0.00382861,
-0.00419622,
-0.00455517,
-0.00491032,
-0.00526447,
-0.0056194,
-0.0059764,
-0.00633639,
-0.00670009,
-0.00706808,
-0.00744081,
-0.00781867,
-0.00820197,
-0.008591,
-0.00898608,
-0.00938814,
-0.00982947,
-0.013417,
-0.0357753,
-0.189608,
-2.33163
],
[
2.99137,
0.518513,
0.149523,
0.0577365,
0.000113402,
-0.0101522,
-0.0136105,
-0.0150152,
-0.0160157,
-0.0168802,
-0.0176807,
-0.0184459,
-0.0191899,
-0.0199206,
-0.0206428,
-0.0213598,
-0.0220737,
-0.022786,
-0.0234978,
-0.02421,
-0.0249233,
-0.0256382,
-0.026355,
-0.0270744,
-0.0278034,
-0.0299376,
-0.0453771,
-0.197292,
-2.35661
],
[
2.99403,
0.539803,
0.166733,
0.0699336,
2.29264e-05,
-0.0195572,
-0.0298746,
-0.0340607,
-0.0362229,
-0.0378008,
-0.0391369,
-0.0403458,
-0.0414779,
-0.0425595,
-0.0436058,
-0.0446265,
-0.0456278,
-0.0466143,
-0.0475893,
-0.048555,
-0.0495134,
-0.0504659,
-0.0514137,
-0.0523578,
-0.0533005,
-0.0553148,
-0.06423,
-0.209206,
-2.38657
],
[
2.99687,
0.557683,
0.180806,
0.0795131,
4.46533e-06,
-0.0274482,
-0.0457339,
-0.0555425,
-0.0600491,
-0.0627162,
-0.0647218,
-0.0664156,
-0.0679331,
-0.0693389,
-0.0706683,
-0.0719425,
-0.0731753,
-0.074376,
-0.0755511,
-0.0767056,
-0.077843,
-0.0789662,
-0.0800775,
-0.0811787,
-0.0822718,
-0.0844677,
-0.0891771,
-0.221536,
-2.4177
],
[
2.99937,
0.572591,
0.192404,
0.0871902,
6.87562e-07,
-0.033537,
-0.0587385,
-0.0754155,
-0.0842379,
-0.0887618,
-0.0917167,
-0.0940114,
-0.0959628,
-0.0977084,
-0.0993183,
-0.100833,
-0.102277,
-0.103667,
-0.105014,
-0.106327,
-0.107612,
-0.108874,
-0.110115,
-0.11134,
-0.11255,
-0.114943,
-0.117943,
-0.233367,
-2.44832
],
[
3.00147,
0.585044,
0.202041,
0.0934445,
6.85115e-08,
-0.0382779,
-0.0690938,
-0.0920678,
-0.106727,
-0.114423,
-0.118831,
-0.121932,
-0.124411,
-0.126542,
-0.128452,
-0.130213,
-0.131866,
-0.133438,
-0.134946,
-0.136404,
-0.137821,
-0.139204,
-0.140558,
-0.141887,
-0.143196,
-0.145764,
-0.148419,
-0.245599,
-2.47782
],
[
3.00319,
0.595481,
0.210099,
0.0985981,
7.30615e-09,
-0.0420548,
-0.0774037,
-0.105655,
-0.126212,
-0.138596,
-0.145317,
-0.149565,
-0.152722,
-0.155311,
-0.157559,
-0.159584,
-0.161453,
-0.163206,
-0.164871,
-0.166467,
-0.168006,
-0.169499,
-0.170954,
-0.172375,
-0.173769,
-0.176488,
-0.17917,
-0.258964,
-2.50619
],
[
3.0046,
0.604254,
0.216868,
0.102879,
3.47489e-09,
-0.0451173,
-0.0841523,
-0.116748,
-0.14242,
-0.160232,
-0.170492,
-0.176455,
-0.18053,
-0.183687,
-0.186329,
-0.188647,
-0.190745,
-0.192685,
-0.194506,
-0.196235,
-0.19789,
-0.199485,
-0.20103,
-0.202533,
-0.204,
-0.206846,
-0.209612,
-0.273723,
-2.53355
],
[
3.00575,
0.611637,
0.222573,
0.106456,
3.31433e-09,
-0.0476302,
-0.0896858,
-0.125856,
-0.155759,
-0.178647,
-0.193556,
-0.202116,
-0.207492,
-0.211389,
-0.214507,
-0.217158,
-0.219506,
-0.22164,
-0.223618,
-0.225476,
-0.227241,
-0.228929,
-0.230555,
-0.232128,
-0.233657,
-0.236606,
-0.239445,
-0.289863,
-2.55986
],
[
3.00667,
0.617846,
0.227392,
0.109457,
3.39031e-09,
-0.0497092,
-0.094256,
-0.133372,
-0.166751,
-0.193882,
-0.213699,
-0.225934,
-0.233218,
-0.238119,
-0.241831,
-0.244873,
-0.247496,
-0.249835,
-0.25197,
-0.253953,
-0.255817,
-0.257587,
-0.259281,
-0.26091,
-0.262485,
-0.265504,
-0.268387,
-0.307157,
-2.58491
],
[
3.00741,
0.623053,
0.231467,
0.111983,
3.53132e-09,
-0.0514396,
-0.0980518,
-0.139603,
-0.175841,
-0.206407,
-0.230544,
-0.247171,
-0.257209,
-0.263517,
-0.267998,
-0.271506,
-0.274435,
-0.276985,
-0.279273,
-0.281368,
-0.283316,
-0.285148,
-0.286887,
-0.288549,
-0.290146,
-0.293184,
-0.296058,
-0.32514,
-2.60824
],
[
3.00844,
0.630994,
0.23782,
0.115908,
4.05802e-09,
-0.0540998,
-0.103871,
-0.149124,
-0.189666,
-0.225269,
-0.255587,
-0.279934,
-0.297355,
-0.308299,
-0.315148,
-0.31988,
-0.323479,
-0.326407,
-0.328902,
-0.331097,
-0.333073,
-0.334882,
-0.33656,
-0.338132,
-0.339616,
-0.342375,
-0.344912,
-0.359659,
-2.64615
],
[
3.00903,
0.636319,
0.242323,
0.118694,
5.08874e-09,
-0.0559779,
-0.107969,
-0.155804,
-0.199306,
-0.238269,
-0.27241,
-0.301286,
-0.324238,
-0.340686,
-0.351129,
-0.357509,
-0.3617,
-0.364733,
-0.3671,
-0.369048,
-0.370713,
-0.372176,
-0.373489,
-0.374684,
-0.375785,
-0.377773,
-0.379544,
-0.385891,
-2.66885
],
[
3.00906,
0.641926,
0.248829,
0.122862,
1.3986e-08,
-0.0588741,
-0.114333,
-0.166199,
-0.214255,
-0.258185,
-0.297406,
-0.330797,
-0.356983,
-0.375837,
-0.389058,
-0.398615,
-0.405779,
-0.411197,
-0.415166,
-0.417908,
-0.419763,
-0.421077,
-0.422071,
-0.422868,
-0.423538,
-0.424636,
-0.425534,
-0.427688,
-2.7001
],
[
3.00626,
0.633555,
0.248341,
0.123215,
6.67965e-08,
-0.0596231,
-0.116297,
-0.169808,
-0.219876,
-0.266043,
-0.307367,
-0.341959,
-0.367848,
-0.385682,
-0.398199,
-0.407615,
-0.415166,
-0.421509,
-0.427018,
-0.431911,
-0.436329,
-0.440357,
-0.444052,
-0.447444,
-0.450543,
-0.45576,
-0.459271,
-0.46317,
-2.73425
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.002081,
"miller_cap_rise": 0.001457,
"output_voltage_fall": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.0054402375
],
"index_3": [
0.02030994,
0.02991172,
0.03972722,
0.05087786,
0.07062741
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0163207125
],
"index_3": [
0.02657261,
0.0485254,
0.07101916,
0.09587414,
0.1368282
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0054402375
],
"index_3": [
0.08329899,
0.09559819,
0.1053821,
0.1162624,
0.1360556
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0163207125
],
"index_3": [
0.09293165,
0.1152633,
0.1376725,
0.1625247,
0.2034778
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
}
]
},
"output_voltage_rise": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001384003125
],
"index_3": [
0.0354099,
0.05193587,
0.0703797,
0.0920091,
0.1435305
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.01
],
"index_2": [
0.004152009375
],
"index_3": [
0.0437339,
0.07313116,
0.1050868,
0.1413007,
0.2132904
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001384003125
],
"index_3": [
0.1002506,
0.1147286,
0.132315,
0.1537721,
0.2051585
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.004152009375
],
"index_3": [
0.1075938,
0.135341,
0.1671171,
0.2033388,
0.2752654
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
}
]
},
"propagated_noise_high": {
"vector,ccsn_pnlh": [
{
"index_1": [
0.97265625
],
"index_2": [
1.48869743617811
],
"index_3": [
0.0054402375
],
"index_4": [
0.7468347,
0.8034731,
0.975116,
1.163557,
1.260943
],
"values": [
1.1477957,
0.87647312,
0.6955914,
0.87647312,
1.1477957
]
},
{
"index_1": [
1.09140625
],
"index_2": [
0.654918124507191
],
"index_3": [
0.0054402375
],
"index_4": [
0.3328519,
0.3661616,
0.4703855,
0.5707719,
0.6266789
],
"values": [
1.1290529,
0.84648464,
0.6581058,
0.84648464,
1.1290529
]
},
{
"index_1": [
1.20546875
],
"index_2": [
0.379752406454069
],
"index_3": [
0.0054402375
],
"index_4": [
0.1957821,
0.2195511,
0.2951681,
0.3574795,
0.3971618
],
"values": [
1.12444495,
0.83911192,
0.6488899,
0.83911192,
1.12444495
]
},
{
"index_1": [
0.97265625
],
"index_2": [
0.744348718089053
],
"index_3": [
0.0054402375
],
"index_4": [
0.3829189,
0.414815,
0.5076081,
0.6050329,
0.6589716
],
"values": [
1.37719185,
1.24350696,
1.1543837,
1.24350696,
1.37719185
]
},
{
"index_1": [
1.20546875
],
"index_2": [
0.189876203227034
],
"index_3": [
0.0054402375
],
"index_4": [
0.1038125,
0.1180088,
0.16044,
0.1909274,
0.2238485
],
"values": [
1.38646755,
1.25834808,
1.1729351,
1.25834808,
1.38646755
]
},
{
"index_1": [
1.09140625
],
"index_2": [
0.654918124507191
],
"index_3": [
0.0163207125
],
"index_4": [
0.336927,
0.3714679,
0.4849183,
0.5908167,
0.6731451
],
"values": [
1.39487405,
1.27179848,
1.1897481,
1.27179848,
1.39487405
]
},
{
"index_1": [
1.20546875
],
"index_2": [
0.379752406454069
],
"index_3": [
0.0163207125
],
"index_4": [
0.1984048,
0.2228523,
0.302379,
0.374219,
0.4434165
],
"values": [
1.39457555,
1.27132088,
1.1891511,
1.27132088,
1.39457555
]
}
]
},
"propagated_noise_low": {
"vector,ccsn_pnlh": [
{
"index_1": [
1.06796875
],
"index_2": [
2
],
"index_3": [
0.001384003125
],
"index_4": [
1.007411,
1.073178,
1.199189,
1.301912,
1.345076
],
"values": [
0.2887215,
0.4619544,
0.577443,
0.4619544,
0.2887215
]
},
{
"index_1": [
1.18203125
],
"index_2": [
1.3883788116087
],
"index_3": [
0.001384003125
],
"index_4": [
0.696608,
0.7556571,
0.8927516,
0.9713281,
1.008029
],
"values": [
0.4521423,
0.72342768,
0.9042846,
0.72342768,
0.4521423
]
},
{
"index_1": [
1.28203125
],
"index_2": [
0.836093149978003
],
"index_3": [
0.001384003125
],
"index_4": [
0.4228599,
0.4666346,
0.5695875,
0.619323,
0.6448008
],
"values": [
0.47886465,
0.76618344,
0.9577293,
0.76618344,
0.47886465
]
},
{
"index_1": [
1.06796875
],
"index_2": [
1.52074440453097
],
"index_3": [
0.001384003125
],
"index_4": [
0.7739161,
0.8262148,
0.9329399,
0.996648,
1.029533
],
"values": [
0.2284663,
0.36554608,
0.4569326,
0.36554608,
0.2284663
]
},
{
"index_1": [
1.28203125
],
"index_2": [
0.418046574989002
],
"index_3": [
0.001384003125
],
"index_4": [
0.2219554,
0.2471559,
0.2912809,
0.3155468,
0.3287819
],
"values": [
0.25497605,
0.40796168,
0.5099521,
0.40796168,
0.25497605
]
},
{
"index_1": [
1.18203125
],
"index_2": [
1.3883788116087
],
"index_3": [
0.004152009375
],
"index_4": [
0.7024099,
0.7650633,
0.897353,
0.9812663,
1.019286
],
"values": [
0.28362345,
0.45379752,
0.5672469,
0.45379752,
0.28362345
]
},
{
"index_1": [
1.28203125
],
"index_2": [
0.836093149978003
],
"index_3": [
0.004152009375
],
"index_4": [
0.4270912,
0.47281,
0.5660905,
0.6253329,
0.6515505
],
"values": [
0.29397215,
0.47035544,
0.5879443,
0.47035544,
0.29397215
]
}
]
},
"stage_type": "both"
},
"cell_fall,delay_template13x13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00468,
0.0128,
0.01483,
0.01737,
0.02054,
0.0245,
0.02945,
0.03564,
0.04338,
0.05305,
0.06514,
0.08026,
0.09916
],
"values": [
[
0.01656,
0.04066,
0.04641,
0.05361,
0.06266,
0.0739,
0.08796,
0.10555,
0.12753,
0.15502,
0.18951,
0.23249,
0.28638
],
[
0.01923,
0.04369,
0.04945,
0.05669,
0.06569,
0.07691,
0.09097,
0.10858,
0.13061,
0.15807,
0.19262,
0.2355,
0.28938
],
[
0.02256,
0.04722,
0.05305,
0.06028,
0.06935,
0.08057,
0.09468,
0.1123,
0.13435,
0.16186,
0.19635,
0.23925,
0.29331
],
[
0.02666,
0.05261,
0.05844,
0.06572,
0.07476,
0.08604,
0.10011,
0.11775,
0.13975,
0.16728,
0.20181,
0.24488,
0.29878
],
[
0.03154,
0.06065,
0.06649,
0.07382,
0.08289,
0.09418,
0.10835,
0.1259,
0.14794,
0.17553,
0.21001,
0.25306,
0.30704
],
[
0.03737,
0.07275,
0.0787,
0.08596,
0.09508,
0.10641,
0.1205,
0.1382,
0.16029,
0.18779,
0.22224,
0.26532,
0.31932
],
[
0.04427,
0.08838,
0.09568,
0.10398,
0.11335,
0.12471,
0.13883,
0.15654,
0.17862,
0.20618,
0.24046,
0.28363,
0.33758
],
[
0.05241,
0.10744,
0.1165,
0.12675,
0.13835,
0.15143,
0.16636,
0.18401,
0.20608,
0.23361,
0.26815,
0.3113,
0.36518
],
[
0.06167,
0.13061,
0.142,
0.15475,
0.16908,
0.1854,
0.20357,
0.22422,
0.24753,
0.275,
0.30941,
0.35241,
0.40614
],
[
0.07174,
0.15871,
0.17293,
0.18881,
0.20672,
0.22686,
0.24941,
0.27456,
0.30316,
0.33527,
0.37142,
0.41431,
0.468
],
[
0.0817,
0.19255,
0.21021,
0.23009,
0.25251,
0.27755,
0.30552,
0.33658,
0.37229,
0.41174,
0.45582,
0.50552,
0.56102
],
[
0.08962,
0.23207,
0.25467,
0.27967,
0.30757,
0.33857,
0.37407,
0.41337,
0.45697,
0.50527,
0.55967,
0.62037,
0.68817
],
[
0.0919,
0.2778,
0.306,
0.3381,
0.3733,
0.4126,
0.4562,
0.504,
0.5594,
0.6202,
0.6878,
0.763,
0.8469
]
]
},
"cell_rise,delay_template13x13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00468,
0.0128,
0.01483,
0.01737,
0.02054,
0.0245,
0.02945,
0.03564,
0.04338,
0.05305,
0.06514,
0.08026,
0.09916
],
"values": [
[
0.04214,
0.14661,
0.1708,
0.20045,
0.23767,
0.28405,
0.34148,
0.4129,
0.5028,
0.61543,
0.75486,
0.92829,
1.14847
],
[
0.04393,
0.14851,
0.17265,
0.20276,
0.24025,
0.28661,
0.3441,
0.41556,
0.50616,
0.61842,
0.75728,
0.93306,
1.15254
],
[
0.04701,
0.15106,
0.17543,
0.20553,
0.24307,
0.28975,
0.34752,
0.41923,
0.50912,
0.62205,
0.7619,
0.93561,
1.15512
],
[
0.05247,
0.1554,
0.17985,
0.21018,
0.24777,
0.29433,
0.35263,
0.42474,
0.51428,
0.62697,
0.76779,
0.94254,
1.15968
],
[
0.0616,
0.16289,
0.18742,
0.21778,
0.25562,
0.30249,
0.36021,
0.433,
0.52256,
0.63522,
0.77631,
0.95097,
1.16821
],
[
0.07626,
0.17502,
0.19938,
0.2298,
0.26748,
0.31439,
0.37266,
0.44593,
0.53568,
0.64765,
0.78847,
0.96472,
1.18392
],
[
0.09583,
0.19484,
0.21905,
0.24924,
0.28675,
0.33362,
0.39187,
0.46501,
0.5556,
0.66734,
0.80886,
0.98453,
1.20223
],
[
0.12074,
0.2257,
0.24969,
0.27973,
0.31703,
0.36384,
0.42191,
0.4949,
0.58503,
0.69869,
0.83936,
1.01336,
1.23406
],
[
0.15312,
0.27357,
0.29719,
0.32685,
0.36417,
0.41019,
0.46818,
0.54089,
0.63107,
0.7445,
0.88455,
1.06115,
1.27915
],
[
0.19525,
0.34545,
0.37024,
0.39908,
0.43562,
0.48167,
0.53946,
0.61154,
0.70139,
0.81409,
0.95479,
1.13099,
1.34909
],
[
0.25011,
0.44045,
0.47152,
0.50652,
0.54562,
0.59082,
0.64722,
0.71932,
0.80872,
0.92112,
1.06082,
1.23692,
1.45522
],
[
0.32227,
0.56477,
0.60347,
0.64697,
0.69607,
0.75077,
0.81217,
0.88217,
0.97177,
1.08257,
1.22227,
1.39727,
1.61527
],
[
0.4167,
0.7271,
0.7756,
0.8308,
0.8921,
0.9607,
1.0375,
1.1229,
1.2194,
1.3299,
1.4674,
1.6417,
1.8596
]
]
},
"fall_transition,delay_template13x13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00468,
0.0128,
0.01483,
0.01737,
0.02054,
0.0245,
0.02945,
0.03564,
0.04338,
0.05305,
0.06514,
0.08026,
0.09916
],
"values": [
[
0.00786,
0.03868,
0.04636,
0.05607,
0.06812,
0.08316,
0.10196,
0.1254,
0.15465,
0.19142,
0.23708,
0.29438,
0.3658
],
[
0.00784,
0.03865,
0.04638,
0.05599,
0.06811,
0.08314,
0.10183,
0.12529,
0.15472,
0.19137,
0.23711,
0.29441,
0.36586
],
[
0.00846,
0.03862,
0.04639,
0.05606,
0.06807,
0.08313,
0.10185,
0.12541,
0.15477,
0.19123,
0.23711,
0.29443,
0.3655
],
[
0.01049,
0.03861,
0.04635,
0.05599,
0.06804,
0.08312,
0.10191,
0.12541,
0.15457,
0.19135,
0.237,
0.2943,
0.3656
],
[
0.01245,
0.03922,
0.04655,
0.05595,
0.06802,
0.08308,
0.10187,
0.12524,
0.15466,
0.19131,
0.23688,
0.29431,
0.3655
],
[
0.01545,
0.04256,
0.04913,
0.05769,
0.06887,
0.08326,
0.10177,
0.12528,
0.15467,
0.19124,
0.23691,
0.29433,
0.36574
],
[
0.01976,
0.05033,
0.05602,
0.06349,
0.07347,
0.08661,
0.10373,
0.12589,
0.15458,
0.19113,
0.23691,
0.29427,
0.36568
],
[
0.02577,
0.0613,
0.06793,
0.0757,
0.08459,
0.09605,
0.1113,
0.13142,
0.15796,
0.19238,
0.23678,
0.29412,
0.36528
],
[
0.03418,
0.07612,
0.08357,
0.09251,
0.10272,
0.11463,
0.12851,
0.14604,
0.16978,
0.201,
0.24234,
0.29602,
0.36528
],
[
0.04594,
0.09623,
0.10479,
0.11521,
0.1269,
0.14043,
0.1562,
0.1748,
0.19614,
0.22355,
0.26019,
0.30935,
0.37368
],
[
0.06265,
0.12284,
0.13339,
0.14539,
0.15894,
0.17489,
0.19313,
0.21445,
0.23833,
0.26654,
0.29977,
0.34285,
0.40077
],
[
0.08656,
0.15948,
0.17151,
0.1858,
0.2022,
0.2214,
0.2419,
0.2662,
0.2944,
0.3271,
0.3646,
0.4082,
0.4603
],
[
0.1211,
0.209,
0.2241,
0.2407,
0.2599,
0.282,
0.3078,
0.3377,
0.3696,
0.407,
0.45,
0.4999,
0.5577
]
]
},
"related_pin": "A",
"rise_transition,delay_template13x13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00468,
0.0128,
0.01483,
0.01737,
0.02054,
0.0245,
0.02945,
0.03564,
0.04338,
0.05305,
0.06514,
0.08026,
0.09916
],
"values": [
[
0.03216,
0.1594,
0.19095,
0.23004,
0.27934,
0.34067,
0.41726,
0.51288,
0.63276,
0.78206,
0.96782,
1.20115,
1.4951
],
[
0.03193,
0.15941,
0.19092,
0.23027,
0.2794,
0.34069,
0.41724,
0.51294,
0.63282,
0.78196,
0.96786,
1.20288,
1.49469
],
[
0.0316,
0.15917,
0.19087,
0.23029,
0.27938,
0.34069,
0.41726,
0.51288,
0.63274,
0.78218,
0.96764,
1.20123,
1.49525
],
[
0.03102,
0.15931,
0.19061,
0.23031,
0.27942,
0.34068,
0.41728,
0.51302,
0.63187,
0.78233,
0.96897,
1.20107,
1.49279
],
[
0.03041,
0.15943,
0.19091,
0.23005,
0.27912,
0.34052,
0.4173,
0.51297,
0.63187,
0.78236,
0.96888,
1.20133,
1.49284
],
[
0.03288,
0.15919,
0.19102,
0.23021,
0.27909,
0.34076,
0.4173,
0.51299,
0.63171,
0.78122,
0.96922,
1.20285,
1.49447
],
[
0.04047,
0.15889,
0.19058,
0.23033,
0.27906,
0.34034,
0.41726,
0.51308,
0.63195,
0.7813,
0.96927,
1.20248,
1.49304
],
[
0.05102,
0.15899,
0.19005,
0.22994,
0.27942,
0.3407,
0.41683,
0.51262,
0.63207,
0.78218,
0.96764,
1.20131,
1.49525
],
[
0.06525,
0.16671,
0.19494,
0.23161,
0.27902,
0.34035,
0.41683,
0.51256,
0.6329,
0.7819,
0.96819,
1.20309,
1.49318
],
[
0.08624,
0.18814,
0.21259,
0.24518,
0.28804,
0.3444,
0.41774,
0.51262,
0.632,
0.78122,
0.96958,
1.20182,
1.4936
],
[
0.11597,
0.23213,
0.25226,
0.27917,
0.31625,
0.36625,
0.4328,
0.5199,
0.6343,
0.7806,
0.968,
1.2018,
1.4935
],
[
0.1569,
0.2935,
0.3176,
0.346,
0.3776,
0.4189,
0.4764,
0.5542,
0.6568,
0.7936,
0.9702,
1.202,
1.4932
],
[
0.2135,
0.3791,
0.408,
0.4401,
0.4772,
0.5205,
0.5697,
0.6336,
0.7224,
0.8435,
1.0059,
1.2177,
1.4959
]
]
},
"timing_sense": "negative_unate"
},
{
"cell_fall,delay_template13x13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00468,
0.0128,
0.01483,
0.01737,
0.02054,
0.0245,
0.02945,
0.03564,
0.04338,
0.05305,
0.06514,
0.08026,
0.09916
],
"values": [
[
0.09272,
0.12289,
0.12934,
0.13719,
0.14679,
0.15851,
0.17299,
0.19091,
0.21306,
0.24068,
0.27516,
0.31817,
0.37215
],
[
0.09573,
0.1259,
0.13235,
0.14019,
0.1498,
0.16152,
0.176,
0.19392,
0.21606,
0.24369,
0.27818,
0.32116,
0.37516
],
[
0.09958,
0.12975,
0.1362,
0.14405,
0.15365,
0.16537,
0.17985,
0.19778,
0.21994,
0.24751,
0.28195,
0.32511,
0.37869
],
[
0.1057,
0.13587,
0.14233,
0.15016,
0.15978,
0.17148,
0.18596,
0.20389,
0.22606,
0.25362,
0.28807,
0.33122,
0.38479
],
[
0.11527,
0.14544,
0.15189,
0.15974,
0.16934,
0.18106,
0.19554,
0.21347,
0.23563,
0.26322,
0.29762,
0.3408,
0.39435
],
[
0.13008,
0.16024,
0.16669,
0.17456,
0.18413,
0.19589,
0.21032,
0.22824,
0.25047,
0.27813,
0.31253,
0.35561,
0.40929
],
[
0.15273,
0.1829,
0.18935,
0.19722,
0.20679,
0.21855,
0.233,
0.25089,
0.27312,
0.30079,
0.33525,
0.37826,
0.43195
],
[
0.18662,
0.21695,
0.22341,
0.23126,
0.24088,
0.2526,
0.26709,
0.28501,
0.30716,
0.33477,
0.36928,
0.41218,
0.46621
],
[
0.2325,
0.2646,
0.27119,
0.27914,
0.28882,
0.30065,
0.31516,
0.33311,
0.35534,
0.38301,
0.41739,
0.46049,
0.5143
],
[
0.2908,
0.32615,
0.33307,
0.34138,
0.35139,
0.36351,
0.37829,
0.39644,
0.41879,
0.44652,
0.48105,
0.52413,
0.57805
],
[
0.36418,
0.40437,
0.41182,
0.42064,
0.43112,
0.44369,
0.45892,
0.47742,
0.50012,
0.52802,
0.56272,
0.60592,
0.65992
],
[
0.45577,
0.50277,
0.51107,
0.52077,
0.53207,
0.54537,
0.56117,
0.58027,
0.60347,
0.63187,
0.66687,
0.71027,
0.76427
],
[
0.5694,
0.6255,
0.635,
0.646,
0.6587,
0.6732,
0.6903,
0.7104,
0.7345,
0.7635,
0.7991,
0.8428,
0.8969
]
]
},
"cell_rise,delay_template13x13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00468,
0.0128,
0.01483,
0.01737,
0.02054,
0.0245,
0.02945,
0.03564,
0.04338,
0.05305,
0.06514,
0.08026,
0.09916
],
"values": [
[
0.06519,
0.15935,
0.18277,
0.21216,
0.24869,
0.2944,
0.3518,
0.42317,
0.51274,
0.62385,
0.76412,
0.93814,
1.15727
],
[
0.0689,
0.16306,
0.18651,
0.21582,
0.25244,
0.29837,
0.35566,
0.42683,
0.51625,
0.62765,
0.76771,
0.94233,
1.16074
],
[
0.07351,
0.1677,
0.19109,
0.22041,
0.25698,
0.30296,
0.36001,
0.4314,
0.52064,
0.63262,
0.7725,
0.94708,
1.16592
],
[
0.08057,
0.17475,
0.19823,
0.22753,
0.26405,
0.30995,
0.36716,
0.43863,
0.5277,
0.63946,
0.77946,
0.95398,
1.17288
],
[
0.09131,
0.18558,
0.20895,
0.2383,
0.27501,
0.32074,
0.37782,
0.44913,
0.53876,
0.65025,
0.78983,
0.96501,
1.18341
],
[
0.1074,
0.2016,
0.22507,
0.25445,
0.29096,
0.3368,
0.39381,
0.4655,
0.5547,
0.66672,
0.80594,
0.98122,
1.19812
],
[
0.13077,
0.22516,
0.24859,
0.27796,
0.31452,
0.36048,
0.41751,
0.48898,
0.57807,
0.69027,
0.82995,
1.00443,
1.22283
],
[
0.16287,
0.25921,
0.28263,
0.31193,
0.34865,
0.39434,
0.45174,
0.52307,
0.61247,
0.72421,
0.86386,
1.03866,
1.25676
],
[
0.20571,
0.30882,
0.3323,
0.36159,
0.39833,
0.44411,
0.50123,
0.57265,
0.66213,
0.77395,
0.91275,
1.08805,
1.30645
],
[
0.26353,
0.38161,
0.40549,
0.43494,
0.47153,
0.51732,
0.57475,
0.64589,
0.73559,
0.84729,
0.98659,
1.16199,
1.37969
],
[
0.3411,
0.48262,
0.51002,
0.54242,
0.58062,
0.62672,
0.68392,
0.75562,
0.84532,
0.95702,
1.09642,
1.27112,
1.49002
],
[
0.44467,
0.62107,
0.65337,
0.69107,
0.73507,
0.78647,
0.84687,
0.91897,
1.00877,
1.12107,
1.26087,
1.43487,
1.65407
],
[
0.5826,
0.8102,
0.8497,
0.895,
0.9471,
1.0072,
1.0769,
1.1579,
1.2528,
1.3655,
1.5062,
1.6811,
1.8993
]
]
},
"fall_transition,delay_template13x13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00468,
0.0128,
0.01483,
0.01737,
0.02054,
0.0245,
0.02945,
0.03564,
0.04338,
0.05305,
0.06514,
0.08026,
0.09916
],
"values": [
[
0.01478,
0.04489,
0.0522,
0.0613,
0.07273,
0.08708,
0.10504,
0.1278,
0.15644,
0.19235,
0.23754,
0.29427,
0.36506
],
[
0.01478,
0.04489,
0.05219,
0.06129,
0.07272,
0.08707,
0.10504,
0.1278,
0.15644,
0.19233,
0.23752,
0.29429,
0.36497
],
[
0.0148,
0.04489,
0.0522,
0.0613,
0.07273,
0.08708,
0.10506,
0.12778,
0.15645,
0.19239,
0.23761,
0.29402,
0.36539
],
[
0.0148,
0.04489,
0.0522,
0.0613,
0.07274,
0.08707,
0.10507,
0.12778,
0.15646,
0.19238,
0.23762,
0.29402,
0.3654
],
[
0.01479,
0.04489,
0.05219,
0.0613,
0.07273,
0.08708,
0.10507,
0.12779,
0.15645,
0.19238,
0.23761,
0.29402,
0.36539
],
[
0.0148,
0.04487,
0.05218,
0.06132,
0.07272,
0.08704,
0.10512,
0.12773,
0.15628,
0.19237,
0.2376,
0.29399,
0.36533
],
[
0.01479,
0.0449,
0.05219,
0.06132,
0.07273,
0.08704,
0.10512,
0.12778,
0.1563,
0.19231,
0.23758,
0.29405,
0.36538
],
[
0.01502,
0.04499,
0.05228,
0.06136,
0.07278,
0.08711,
0.10505,
0.12784,
0.1564,
0.19237,
0.2375,
0.29433,
0.36528
],
[
0.01693,
0.04624,
0.05335,
0.06228,
0.07349,
0.08768,
0.10557,
0.12818,
0.15652,
0.19244,
0.23768,
0.29411,
0.36518
],
[
0.01989,
0.04909,
0.05613,
0.06492,
0.07609,
0.08985,
0.1073,
0.1295,
0.15776,
0.19337,
0.238,
0.29444,
0.36532
],
[
0.02413,
0.05353,
0.06036,
0.06883,
0.07961,
0.09323,
0.11043,
0.13229,
0.15999,
0.19522,
0.2394,
0.2957,
0.3662
],
[
0.0299,
0.0604,
0.0671,
0.0753,
0.0857,
0.0988,
0.1154,
0.1366,
0.1637,
0.198,
0.2418,
0.2975,
0.3674
],
[
0.0375,
0.0702,
0.0769,
0.0851,
0.0953,
0.1078,
0.1238,
0.1442,
0.17,
0.2033,
0.246,
0.3004,
0.3698
]
]
},
"related_pin": "TE_B",
"rise_transition,delay_template13x13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00468,
0.0128,
0.01483,
0.01737,
0.02054,
0.0245,
0.02945,
0.03564,
0.04338,
0.05305,
0.06514,
0.08026,
0.09916
],
"values": [
[
0.03396,
0.15875,
0.19015,
0.22956,
0.27848,
0.33982,
0.41606,
0.51185,
0.63106,
0.78031,
0.96767,
1.19994,
1.49083
],
[
0.03395,
0.15877,
0.19026,
0.22952,
0.27859,
0.33987,
0.41613,
0.5117,
0.63106,
0.78035,
0.96644,
1.19975,
1.49178
],
[
0.03392,
0.15873,
0.19017,
0.22944,
0.27847,
0.33973,
0.41617,
0.51206,
0.63119,
0.78035,
0.96765,
1.19934,
1.49205
],
[
0.03396,
0.15868,
0.19024,
0.22959,
0.27871,
0.33965,
0.41623,
0.51151,
0.63115,
0.78034,
0.96637,
1.1997,
1.49226
],
[
0.034,
0.15881,
0.19027,
0.2296,
0.27868,
0.3399,
0.41618,
0.51212,
0.63125,
0.78032,
0.9667,
1.19972,
1.4921
],
[
0.03408,
0.15884,
0.19023,
0.22952,
0.27867,
0.33996,
0.4163,
0.51156,
0.63132,
0.78101,
0.96686,
1.20027,
1.49132
],
[
0.03512,
0.15879,
0.19024,
0.22942,
0.2785,
0.33993,
0.41642,
0.51172,
0.63122,
0.78049,
0.96755,
1.19922,
1.49097
],
[
0.03951,
0.15903,
0.19042,
0.22962,
0.27871,
0.3399,
0.41602,
0.51172,
0.63072,
0.78045,
0.9675,
1.20009,
1.49108
],
[
0.0465,
0.16172,
0.19172,
0.23024,
0.27878,
0.33972,
0.41638,
0.51175,
0.63105,
0.78059,
0.96669,
1.19981,
1.49106
],
[
0.05704,
0.17099,
0.19965,
0.23605,
0.28241,
0.34152,
0.41661,
0.51202,
0.63098,
0.7804,
0.96669,
1.20046,
1.4924
],
[
0.07323,
0.19284,
0.21887,
0.25267,
0.29606,
0.3521,
0.4236,
0.5152,
0.632,
0.7801,
0.9667,
1.1997,
1.4911
],
[
0.0975,
0.2289,
0.2561,
0.2888,
0.3283,
0.3796,
0.4462,
0.5325,
0.6436,
0.7861,
0.9675,
1.1999,
1.4907
],
[
0.133,
0.2819,
0.3106,
0.3452,
0.3868,
0.4367,
0.497,
0.5758,
0.6785,
0.8122,
0.9852,
1.2076,
1.4942
]
]
},
"timing_sense": "negative_unate",
"timing_type": "three_state_enable"
},
{
"cell_fall,delay_template13x13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00468,
0.0128,
0.01483,
0.01737,
0.02054,
0.0245,
0.02945,
0.03564,
0.04338,
0.05305,
0.06514,
0.08026,
0.09916
],
"values": [
[
0.23782,
0.23782,
0.23782,
0.23782,
0.23782,
0.23782,
0.23782,
0.23782,
0.23782,
0.23782,
0.23782,
0.23782,
0.23782
],
[
0.26111,
0.26111,
0.26111,
0.26111,
0.26111,
0.26111,
0.26111,
0.26111,
0.26111,
0.26111,
0.26111,
0.26111,
0.26111
],
[
0.26563,
0.26563,
0.26563,
0.26563,
0.26563,
0.26563,
0.26563,
0.26563,
0.26563,
0.26563,
0.26563,
0.26563,
0.26563
],
[
0.2666,
0.2666,
0.2666,
0.2666,
0.2666,
0.2666,
0.2666,
0.2666,
0.2666,
0.2666,
0.2666,
0.2666,
0.2666
],
[
0.27415,
0.27415,
0.27415,
0.27415,
0.27415,
0.27415,
0.27415,
0.27415,
0.27415,
0.27415,
0.27415,
0.27415,
0.27415
],
[
0.27423,
0.27423,
0.27423,
0.27423,
0.27423,
0.27423,
0.27423,
0.27423,
0.27423,
0.27423,
0.27423,
0.27423,
0.27423
],
[
0.27372,
0.27372,
0.27372,
0.27372,
0.27372,
0.27372,
0.27372,
0.27372,
0.27372,
0.27372,
0.27372,
0.27372,
0.27372
],
[
0.2796,
0.2796,
0.2796,
0.2796,
0.2796,
0.2796,
0.2796,
0.2796,
0.2796,
0.2796,
0.2796,
0.2796,
0.2796
],
[
0.29115,
0.29115,
0.29115,
0.29115,
0.29115,
0.29115,
0.29115,
0.29115,
0.29115,
0.29115,
0.29115,
0.29115,
0.29115
],
[
0.19049,
0.19049,
0.19049,
0.19049,
0.19049,
0.19049,
0.19049,
0.19049,
0.19049,
0.19049,
0.19049,
0.19049,
0.19049
],
[
0.18944,
0.18944,
0.18944,
0.18944,
0.18944,
0.18944,
0.18944,
0.18944,
0.18944,
0.18944,
0.18944,
0.18944,
0.18944
],
[
0.18747,
0.18747,
0.18747,
0.18747,
0.18747,
0.18747,
0.18747,
0.18747,
0.18747,
0.18747,
0.18747,
0.18747,
0.18747
],
[
0.1526,
0.1526,
0.1526,
0.1526,
0.1526,
0.1526,
0.1526,
0.1526,
0.1526,
0.1526,
0.1526,
0.1526,
0.1526
]
]
},
"cell_rise,delay_template13x13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00468,
0.0128,
0.01483,
0.01737,
0.02054,
0.0245,
0.02945,
0.03564,
0.04338,
0.05305,
0.06514,
0.08026,
0.09916
],
"values": [
[
0.62726,
0.62726,
0.62726,
0.62726,
0.62726,
0.62726,
0.62726,
0.62726,
0.62726,
0.62726,
0.62726,
0.62726,
0.62726
],
[
0.60384,
0.60384,
0.60384,
0.60384,
0.60384,
0.60384,
0.60384,
0.60384,
0.60384,
0.60384,
0.60384,
0.60384,
0.60384
],
[
0.60999,
0.60999,
0.60999,
0.60999,
0.60999,
0.60999,
0.60999,
0.60999,
0.60999,
0.60999,
0.60999,
0.60999,
0.60999
],
[
0.38272,
0.38272,
0.38272,
0.38272,
0.38272,
0.38272,
0.38272,
0.38272,
0.38272,
0.38272,
0.38272,
0.38272,
0.38272
],
[
0.14554,
0.14554,
0.14554,
0.14554,
0.14554,
0.14554,
0.14554,
0.14554,
0.14554,
0.14554,
0.14554,
0.14554,
0.14554
],
[
0.12594,
0.12594,
0.12594,
0.12594,
0.12594,
0.12594,
0.12594,
0.12594,
0.12594,
0.12594,
0.12594,
0.12594,
0.12594
],
[
0.14459,
0.14459,
0.14459,
0.14459,
0.14459,
0.14459,
0.14459,
0.14459,
0.14459,
0.14459,
0.14459,
0.14459,
0.14459
],
[
0.16801,
0.16801,
0.16801,
0.16801,
0.16801,
0.16801,
0.16801,
0.16801,
0.16801,
0.16801,
0.16801,
0.16801,
0.16801
],
[
0.19773,
0.19773,
0.19773,
0.19773,
0.19773,
0.19773,
0.19773,
0.19773,
0.19773,
0.19773,
0.19773,
0.19773,
0.19773
],
[
0.23521,
0.23521,
0.23521,
0.23521,
0.23521,
0.23521,
0.23521,
0.23521,
0.23521,
0.23521,
0.23521,
0.23521,
0.23521
],
[
0.28181,
0.28181,
0.28181,
0.28181,
0.28181,
0.28181,
0.28181,
0.28181,
0.28181,
0.28181,
0.28181,
0.28181,
0.28181
],
[
0.33907,
0.33907,
0.33907,
0.33907,
0.33907,
0.33907,
0.33907,
0.33907,
0.33907,
0.33907,
0.33907,
0.33907,
0.33907
],
[
0.4073,
0.4073,
0.4073,
0.4073,
0.4073,
0.4073,
0.4073,
0.4073,
0.4073,
0.4073,
0.4073,
0.4073,
0.4073
]
]
},
"fall_transition,delay_template13x13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00468,
0.0128,
0.01483,
0.01737,
0.02054,
0.0245,
0.02945,
0.03564,
0.04338,
0.05305,
0.06514,
0.08026,
0.09916
],
"values": [
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
]
]
},
"related_pin": "TE_B",
"rise_transition,delay_template13x13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.00468,
0.0128,
0.01483,
0.01737,
0.02054,
0.0245,
0.02945,
0.03564,
0.04338,
0.05305,
0.06514,
0.08026,
0.09916
],
"values": [
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
],
[
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0,
0.0
]
]
},
"timing_sense": "positive_unate",
"timing_type": "three_state_disable"
}
]
}
}