blob: 2a09eac42de02e86593e31155e8331114b2e12dd [file] [log] [blame]
{
"area": 36.7632,
"cell_footprint": "sdfxtp",
"cell_leakage_power": 0.57145,
"ff IQ, IQ_N": {
"clocked_on": "CLK",
"next_state": "(D&!SCE) | (SCD&SCE)"
},
"leakage_power": [
{
"value": 0.666918,
"when": "!D&!SCD&!SCE&!CLK"
},
{
"value": 0.606222,
"when": "!D&!SCD&!SCE&CLK"
},
{
"value": 0.748026,
"when": "!D&!SCD&SCE&!CLK"
},
{
"value": 0.687312,
"when": "!D&!SCD&SCE&CLK"
},
{
"value": 0.667512,
"when": "!D&SCD&!SCE&!CLK"
},
{
"value": 0.607392,
"when": "!D&SCD&!SCE&CLK"
},
{
"value": 0.761346,
"when": "!D&SCD&SCE&!CLK"
},
{
"value": 0.70686,
"when": "!D&SCD&SCE&CLK"
},
{
"value": 0.717678,
"when": "D&!SCD&!SCE&!CLK"
},
{
"value": 0.663192,
"when": "D&!SCD&!SCE&CLK"
},
{
"value": 0.748602,
"when": "D&!SCD&SCE&!CLK"
},
{
"value": 0.688194,
"when": "D&!SCD&SCE&CLK"
},
{
"value": 0.69435,
"when": "D&SCD&!SCE&!CLK"
},
{
"value": 0.639864,
"when": "D&SCD&!SCE&CLK"
},
{
"value": 0.755856,
"when": "D&SCD&SCE&!CLK"
},
{
"value": 0.70137,
"when": "D&SCD&SCE&CLK"
}
],
"pg_pin VGND": {
"pg_type": "primary_ground",
"voltage_name": "VGND"
},
"pg_pin VNB": {
"pg_type": "primary_ground",
"voltage_name": "VNB"
},
"pg_pin VPB": {
"pg_type": "primary_power",
"voltage_name": "VPB"
},
"pg_pin VPWR": {
"pg_type": "primary_power",
"voltage_name": "VPWR"
},
"pin CLK": {
"capacitance": 0.00301,
"ccsn_first_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.8,
-0.9,
-0.36,
-0.18,
0,
0.09,
0.18,
0.27,
0.36,
0.45,
0.54,
0.63,
0.72,
0.81,
0.9,
0.99,
1.08,
1.17,
1.26,
1.35,
1.44,
1.53,
1.62,
1.71,
1.8,
1.98,
2.16,
2.7,
3.6
],
"index_2": [
-1.8,
-0.9,
-0.36,
-0.18,
0,
0.09,
0.18,
0.27,
0.36,
0.45,
0.54,
0.63,
0.72,
0.81,
0.9,
0.99,
1.08,
1.17,
1.26,
1.35,
1.44,
1.53,
1.62,
1.71,
1.8,
1.98,
2.16,
2.7,
3.6
],
"values": [
[
0.60953,
0.454968,
0.380007,
0.352669,
0.324315,
0.309785,
0.295028,
0.280058,
0.264883,
0.249511,
0.234024,
0.218278,
0.202348,
0.18625,
0.169993,
0.153584,
0.137034,
0.120346,
0.103522,
0.0865687,
0.0694889,
0.0522877,
0.0349698,
0.0175391,
-1.99275e-09,
-0.0349274,
-0.0694413,
-0.173266,
-0.411732
],
[
0.584525,
0.400222,
0.355862,
0.336512,
0.314611,
0.302773,
0.290382,
0.277468,
0.264059,
0.250182,
0.235946,
0.221201,
0.206048,
0.190518,
0.174634,
0.158416,
0.141885,
0.125056,
0.107942,
0.0905555,
0.0729112,
0.0550216,
0.036899,
0.0185548,
-4.61068e-09,
-0.0371785,
-0.0741526,
-0.185776,
-0.420706
],
[
0.562517,
0.305764,
0.280278,
0.270539,
0.25958,
0.253399,
0.246559,
0.238917,
0.230394,
0.220982,
0.210751,
0.199704,
0.187833,
0.175236,
0.161961,
0.148053,
0.133554,
0.118497,
0.102915,
0.0868381,
0.0702958,
0.0533157,
0.0359238,
0.0181443,
-6.77849e-09,
-0.0367809,
-0.0738374,
-0.187546,
-0.423029
],
[
0.555377,
0.266124,
0.244626,
0.236732,
0.228193,
0.22357,
0.218615,
0.213211,
0.207191,
0.200365,
0.192595,
0.183914,
0.17418,
0.163527,
0.152012,
0.139691,
0.126619,
0.112843,
0.0984039,
0.0833438,
0.0677012,
0.0515126,
0.034812,
0.0176313,
-3.96069e-09,
-0.0360109,
-0.0725951,
-0.186064,
-0.422493
],
[
0.550185,
0.22547,
0.20596,
0.199485,
0.192659,
0.189068,
0.185318,
0.181364,
0.17714,
0.172542,
0.167406,
0.161562,
0.154665,
0.146672,
0.1376,
0.127504,
0.116447,
0.104489,
0.0916881,
0.0780978,
0.0637698,
0.0487525,
0.0330911,
0.0168273,
4.66091e-09,
-0.0347574,
-0.0705027,
-0.183065,
-0.421092
],
[
0.548809,
0.210693,
0.185996,
0.180119,
0.173984,
0.170788,
0.167482,
0.164037,
0.160414,
0.156553,
0.152361,
0.147717,
0.142318,
0.135889,
0.128328,
0.119631,
0.109852,
0.0990541,
0.0873021,
0.0746579,
0.0611808,
0.0469264,
0.0319467,
0.0162896,
3.74144e-09,
-0.0339092,
-0.0690712,
-0.180889,
-0.420045
],
[
0.548174,
0.205421,
0.165907,
0.16057,
0.155046,
0.152192,
0.149261,
0.146236,
0.143091,
0.139794,
0.136292,
0.132505,
0.128327,
0.123399,
0.117473,
0.110371,
0.102073,
0.0926293,
0.0821065,
0.0705743,
0.0581004,
0.0447485,
0.0305784,
0.015645,
-7.87342e-08,
-0.0328892,
-0.0673442,
-0.178202,
-0.41877
],
[
0.548049,
0.206706,
0.145936,
0.141086,
0.136108,
0.133555,
0.130948,
0.128278,
0.125528,
0.122679,
0.119701,
0.116552,
0.113202,
0.10942,
0.105001,
0.0995976,
0.0929755,
0.0850936,
0.0759993,
0.0657646,
0.0544649,
0.0421727,
0.0289562,
0.0148787,
-9.05937e-07,
-0.0316782,
-0.0652915,
-0.17496,
-0.417281
],
[
0.54822,
0.210646,
0.126434,
0.121921,
0.117438,
0.115153,
0.112832,
0.110468,
0.108052,
0.105573,
0.103013,
0.100348,
0.0975787,
0.094568,
0.0912307,
0.0873246,
0.0824565,
0.0763282,
0.0688731,
0.0601389,
0.0502028,
0.0391453,
0.0270437,
0.0139702,
-8.02926e-06,
-0.0302617,
-0.0628886,
-0.171129,
-0.415605
],
[
0.548562,
0.215406,
0.108826,
0.103347,
0.099299,
0.0972551,
0.0951883,
0.0930942,
0.0909674,
0.0888008,
0.086585,
0.0843066,
0.0819664,
0.0795024,
0.0768633,
0.0739616,
0.0705628,
0.0662331,
0.0606026,
0.0535788,
0.0452099,
0.0355779,
0.0247682,
0.0128621,
-6.41605e-05,
-0.0286645,
-0.0601545,
-0.16673,
-0.413831
],
[
0.549043,
0.220503,
0.0982796,
0.085808,
0.081904,
0.0800703,
0.0782244,
0.0763627,
0.0744817,
0.0725772,
0.0706438,
0.068674,
0.0666599,
0.0646019,
0.0624362,
0.0601474,
0.0576533,
0.0547365,
0.0509287,
0.0457844,
0.0391823,
0.031171,
0.0218371,
0.0112696,
-0.000445934,
-0.0271586,
-0.0573722,
-0.162109,
-0.412327
],
[
0.549698,
0.225975,
0.0957802,
0.071169,
0.0651671,
0.0634442,
0.061737,
0.060026,
0.0583057,
0.0565721,
0.0548218,
0.0530504,
0.0512526,
0.049436,
0.0475565,
0.0456157,
0.0435861,
0.0414036,
0.0388788,
0.0355272,
0.0308189,
0.024596,
0.016906,
0.00783792,
-0.0025157,
-0.0271167,
-0.0559529,
-0.158853,
-0.412349
],
[
0.550606,
0.231958,
0.0962914,
0.0624686,
0.0481046,
0.0456815,
0.0437665,
0.0419398,
0.0401391,
0.0383455,
0.03655,
0.034747,
0.0329321,
0.03111,
0.0292546,
0.0273689,
0.0254443,
0.0234626,
0.0213813,
0.0190634,
0.0160647,
0.0117555,
0.00590081,
-0.00146445,
-0.0102524,
-0.0323353,
-0.0595812,
-0.160464,
-0.415944
],
[
0.551869,
0.238546,
0.0974049,
0.057757,
0.0318543,
0.0249835,
0.0210794,
0.0183901,
0.0160595,
0.0138736,
0.0117613,
0.00969161,
0.00764816,
0.00562225,
0.003606,
0.00158659,
-0.000435433,
-0.00246649,
-0.00451717,
-0.00660945,
-0.00881358,
-0.0114328,
-0.0151627,
-0.0204201,
-0.0272172,
-0.0457717,
-0.0707202,
-0.168375,
-0.423173
],
[
0.553603,
0.245755,
0.0987957,
0.0547915,
0.0195853,
0.00674629,
-0.00268258,
-0.00862913,
-0.0124786,
-0.0155075,
-0.0181731,
-0.0206421,
-0.0229887,
-0.0252513,
-0.0274511,
-0.0296098,
-0.0317328,
-0.0338267,
-0.0358981,
-0.037954,
-0.0400049,
-0.0420779,
-0.0443079,
-0.0472737,
-0.0516569,
-0.0654816,
-0.0871081,
-0.179868,
-0.432044
],
[
0.555941,
0.253523,
0.100584,
0.0530134,
0.0112306,
-0.00624118,
-0.0209495,
-0.0323753,
-0.0400153,
-0.0449526,
-0.0486486,
-0.0517518,
-0.0545228,
-0.0570825,
-0.0594951,
-0.0618003,
-0.0640228,
-0.0661762,
-0.0682718,
-0.070318,
-0.0723219,
-0.0742902,
-0.0762339,
-0.0781957,
-0.0804952,
-0.0890414,
-0.106244,
-0.192583,
-0.441237
],
[
0.559042,
0.261721,
0.102877,
0.0523329,
0.00576165,
-0.0150658,
-0.0336739,
-0.0496954,
-0.0624224,
-0.0711171,
-0.0768269,
-0.0810412,
-0.0844911,
-0.0874962,
-0.0902126,
-0.0927273,
-0.0950933,
-0.0973417,
-0.0994944,
-0.101567,
-0.103572,
-0.105517,
-0.107412,
-0.109263,
-0.111086,
-0.115766,
-0.12747,
-0.205806,
-0.450377
],
[
0.563095,
0.27016,
0.10573,
0.0526969,
0.00246291,
-0.0208533,
-0.0423531,
-0.0617741,
-0.0786676,
-0.092073,
-0.10126,
-0.107426,
-0.111999,
-0.11571,
-0.118901,
-0.121749,
-0.124357,
-0.126787,
-0.129078,
-0.131257,
-0.133346,
-0.13536,
-0.13731,
-0.139207,
-0.141058,
-0.144732,
-0.151288,
-0.219582,
-0.459452
],
[
0.568325,
0.278605,
0.109106,
0.0539689,
0.000814427,
-0.024415,
-0.0481314,
-0.0701388,
-0.0901434,
-0.107537,
-0.121116,
-0.130434,
-0.136866,
-0.141717,
-0.14567,
-0.149065,
-0.152087,
-0.154847,
-0.157411,
-0.159824,
-0.162117,
-0.164314,
-0.166431,
-0.168483,
-0.170479,
-0.174341,
-0.178575,
-0.234246,
-0.46854
],
[
0.57492,
0.286719,
0.112746,
0.0557901,
0.000214323,
-0.0265451,
-0.0520279,
-0.0760835,
-0.0985052,
-0.118925,
-0.136502,
-0.149935,
-0.159245,
-0.165883,
-0.171004,
-0.175222,
-0.178865,
-0.182115,
-0.185084,
-0.18784,
-0.190433,
-0.192895,
-0.195251,
-0.19752,
-0.199717,
-0.203936,
-0.208039,
-0.250324,
-0.477735
],
[
0.582811,
0.294058,
0.116218,
0.0576732,
5.36066e-05,
-0.0279603,
-0.0548849,
-0.0806025,
-0.10496,
-0.127718,
-0.148382,
-0.165864,
-0.178997,
-0.188266,
-0.195082,
-0.200454,
-0.204933,
-0.208826,
-0.212311,
-0.215495,
-0.218453,
-0.221233,
-0.223871,
-0.226393,
-0.22882,
-0.233444,
-0.237841,
-0.26843,
-0.487097
],
[
0.591525,
0.300358,
0.119265,
0.0593502,
1.41413e-05,
-0.0290331,
-0.0571411,
-0.0842158,
-0.11014,
-0.134748,
-0.15774,
-0.17845,
-0.195613,
-0.208391,
-0.21761,
-0.224577,
-0.23017,
-0.234887,
-0.239011,
-0.242714,
-0.246103,
-0.249253,
-0.252212,
-0.255019,
-0.257701,
-0.262769,
-0.267537,
-0.289251,
-0.496656
],
[
0.600446,
0.305643,
0.121851,
0.060772,
3.82411e-06,
-0.0298946,
-0.0589751,
-0.0871618,
-0.114363,
-0.140456,
-0.165247,
-0.188348,
-0.2089,
-0.225598,
-0.238025,
-0.247195,
-0.25429,
-0.260077,
-0.265005,
-0.269341,
-0.273245,
-0.276825,
-0.280153,
-0.283282,
-0.286249,
-0.291805,
-0.296978,
-0.31362,
-0.506432
],
[
0.609063,
0.310041,
0.124019,
0.0619587,
9.86074e-07,
-0.0305982,
-0.0604787,
-0.0895781,
-0.117823,
-0.14512,
-0.171334,
-0.196229,
-0.219299,
-0.239514,
-0.255679,
-0.267783,
-0.276912,
-0.284116,
-0.290075,
-0.295196,
-0.299723,
-0.303813,
-0.30757,
-0.311067,
-0.314355,
-0.320451,
-0.326067,
-0.341618,
-0.516446
],
[
0.616999,
0.313677,
0.12582,
0.0629411,
2.14912e-07,
-0.0311748,
-0.0617128,
-0.0915622,
-0.120663,
-0.148941,
-0.1763,
-0.202582,
-0.227491,
-0.250387,
-0.270128,
-0.28575,
-0.29757,
-0.306664,
-0.313967,
-0.320081,
-0.325375,
-0.330078,
-0.33434,
-0.338263,
-0.341918,
-0.34862,
-0.354723,
-0.370994,
-0.526724
],
[
0.629787,
0.31908,
0.128515,
0.0644053,
5.69421e-09,
-0.0320299,
-0.0635477,
-0.0945167,
-0.124895,
-0.154635,
-0.183677,
-0.211941,
-0.239304,
-0.26554,
-0.290187,
-0.312355,
-0.33094,
-0.345572,
-0.356936,
-0.365986,
-0.373462,
-0.379844,
-0.385444,
-0.390462,
-0.395037,
-0.403211,
-0.41046,
-0.429016,
-0.548269
],
[
0.637783,
0.322515,
0.130259,
0.065352,
2.88063e-09,
-0.0325854,
-0.0647476,
-0.0964596,
-0.127691,
-0.158408,
-0.188571,
-0.218132,
-0.247025,
-0.275154,
-0.302349,
-0.328279,
-0.352294,
-0.373401,
-0.390813,
-0.404651,
-0.415688,
-0.424725,
-0.432351,
-0.438965,
-0.44483,
-0.454981,
-0.463695,
-0.485099,
-0.571778
],
[
0.642315,
0.325193,
0.131872,
0.0662618,
9.92907e-09,
-0.0331662,
-0.0660607,
-0.0986704,
-0.130981,
-0.162978,
-0.194644,
-0.225961,
-0.256909,
-0.287465,
-0.317602,
-0.347285,
-0.376465,
-0.405072,
-0.432981,
-0.459975,
-0.485649,
-0.50936,
-0.530359,
-0.548227,
-0.563123,
-0.586115,
-0.603266,
-0.638675,
-0.679755
],
[
0.627132,
0.317508,
0.12895,
0.0648312,
4.52666e-08,
-0.0325148,
-0.0648579,
-0.0970234,
-0.129005,
-0.160797,
-0.192393,
-0.223785,
-0.254966,
-0.285929,
-0.316665,
-0.347166,
-0.377423,
-0.407424,
-0.43716,
-0.466618,
-0.495783,
-0.52464,
-0.553168,
-0.58134,
-0.609115,
-0.663187,
-0.714234,
-0.824553,
-0.905899
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000739,
"miller_cap_rise": 0.000274,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.01823275,
0.02634122,
0.03535566,
0.04602089,
0.06529192
],
"values": [
1.62,
1.26,
0.9,
0.54,
0.18
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.07109281,
0.08581924,
0.09745446,
0.1085366,
0.1278716
],
"values": [
1.62,
1.26,
0.9,
0.54,
0.18
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.02423178,
0.03925931,
0.05747769,
0.08420398,
0.1338358
],
"values": [
0.18,
0.54,
0.9,
1.26,
1.62
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.08271025,
0.1034126,
0.1216579,
0.1484106,
0.1979727
],
"values": [
0.18,
0.54,
0.9,
1.26,
1.62
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.95185546875
],
"index_2": [
1.0122430086677
],
"index_3": [
0.001
],
"index_4": [
0.4956491,
0.5290774,
0.5881694,
0.6554144,
0.704379
],
"values": [
1.43520375,
1.216326,
1.0704075,
1.216326,
1.43520375
]
},
{
"index_1": [
1.10654296875
],
"index_2": [
0.453108337950994
],
"index_3": [
0.001
],
"index_4": [
0.2255316,
0.2484821,
0.2921556,
0.3408323,
0.3789892
],
"values": [
1.3349763,
1.05596208,
0.8699526,
1.05596208,
1.3349763
]
},
{
"index_1": [
1.26123046875
],
"index_2": [
0.264587630111661
],
"index_3": [
0.001
],
"index_4": [
0.1344798,
0.1518391,
0.1825127,
0.2225965,
0.2533264
],
"values": [
1.297473,
0.9959568,
0.794946,
0.9959568,
1.297473
]
},
{
"index_1": [
0.95185546875
],
"index_2": [
0.506121504333849
],
"index_3": [
0.001
],
"index_4": [
0.2533624,
0.2709592,
0.3061255,
0.3417613,
0.3770646
],
"values": [
1.5889658,
1.46234528,
1.3779316,
1.46234528,
1.5889658
]
},
{
"index_1": [
1.10654296875
],
"index_2": [
0.226554168975497
],
"index_3": [
0.001
],
"index_4": [
0.1179108,
0.1298941,
0.1522752,
0.1803282,
0.2087631
],
"values": [
1.5481985,
1.3971176,
1.296397,
1.3971176,
1.5481985
]
},
{
"index_1": [
1.26123046875
],
"index_2": [
0.13229381505583
],
"index_3": [
0.001
],
"index_4": [
0.07182882,
0.08064011,
0.09560201,
0.1209703,
0.1435159
],
"values": [
1.53961935,
1.38339096,
1.2792387,
1.38339096,
1.53961935
]
},
{
"index_1": [
1.10654296875
],
"index_2": [
0.679662506926491
],
"index_3": [
0.001
],
"index_4": [
0.3309605,
0.3633417,
0.423844,
0.4975306,
0.5550969
],
"values": [
1.1781495,
0.8050392,
0.556299,
0.8050392,
1.1781495
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.02744140625
],
"index_2": [
1.55012680528781
],
"index_3": [
0.001
],
"index_4": [
0.7531909,
0.7905792,
0.8447777,
0.8995833,
0.9386405
],
"values": [
0.2030065,
0.3248104,
0.406013,
0.3248104,
0.2030065
]
},
{
"index_1": [
1.18212890625
],
"index_2": [
0.782639083302815
],
"index_3": [
0.001
],
"index_4": [
0.3830296,
0.415269,
0.4635426,
0.5149312,
0.5456587
],
"values": [
0.37025465,
0.59240744,
0.7405093,
0.59240744,
0.37025465
]
},
{
"index_1": [
1.33505859375
],
"index_2": [
0.475930225889783
],
"index_3": [
0.001
],
"index_4": [
0.2349415,
0.2614012,
0.3054896,
0.3442423,
0.3689506
],
"values": [
0.4337127,
0.69394032,
0.8674254,
0.69394032,
0.4337127
]
},
{
"index_1": [
1.02744140625
],
"index_2": [
0.775063402643906
],
"index_3": [
0.001
],
"index_4": [
0.3775834,
0.3998651,
0.4329066,
0.4647352,
0.4920224
],
"values": [
0.12965645,
0.20745032,
0.2593129,
0.20745032,
0.12965645
]
},
{
"index_1": [
1.18212890625
],
"index_2": [
0.391319541651407
],
"index_3": [
0.001
],
"index_4": [
0.1965854,
0.2135825,
0.2426329,
0.2661999,
0.2850625
],
"values": [
0.2132752,
0.34124032,
0.4265504,
0.34124032,
0.2132752
]
},
{
"index_1": [
1.33505859375
],
"index_2": [
0.237965112944891
],
"index_3": [
0.001
],
"index_4": [
0.123019,
0.1368136,
0.1566254,
0.1788547,
0.1938813
],
"values": [
0.2450324,
0.39205184,
0.4900648,
0.39205184,
0.2450324
]
},
{
"index_1": [
1.18212890625
],
"index_2": [
1.17395862495422
],
"index_3": [
0.001
],
"index_4": [
0.5653889,
0.6103566,
0.6771547,
0.7564757,
0.8021563
],
"values": [
0.4733876,
0.75742016,
0.9467752,
0.75742016,
0.4733876
]
}
]
},
"stage_type": "both"
},
"clock": "true",
"direction": "input",
"internal_power": {
"fall_power hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.024,
0.02391,
0.02314,
0.0231,
0.02304,
0.02304,
0.02316,
0.02357,
0.02438,
0.02786,
0.03027,
0.03682,
0.04344
]
},
"rise_power hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.01793,
0.01765,
0.01687,
0.01694,
0.01688,
0.0167,
0.01672,
0.01689,
0.01743,
0.02009,
0.02224,
0.02796,
0.03455
]
}
},
"max_transition": 1.0,
"min_pulse_width_high": 0.16901,
"min_pulse_width_low": 0.16901,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR"
},
"pin D": {
"capacitance": 0.00192,
"ccsn_first_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.8,
-0.9,
-0.36,
-0.18,
0,
0.09,
0.18,
0.27,
0.36,
0.45,
0.54,
0.63,
0.72,
0.81,
0.9,
0.99,
1.08,
1.17,
1.26,
1.35,
1.44,
1.53,
1.62,
1.71,
1.8,
1.98,
2.16,
2.7,
3.6
],
"index_2": [
-1.8,
-0.9,
-0.36,
-0.18,
0,
0.09,
0.18,
0.27,
0.36,
0.45,
0.54,
0.63,
0.72,
0.81,
0.9,
0.99,
1.08,
1.17,
1.26,
1.35,
1.44,
1.53,
1.62,
1.71,
1.8,
1.98,
2.16,
2.7,
3.6
],
"values": [
[
5.44793,
0.347226,
0.109941,
0.107047,
0.10363,
0.101709,
0.0996211,
0.0973244,
0.0947496,
0.0918014,
0.0883936,
0.0844896,
0.080099,
0.0752521,
0.0699838,
0.0643273,
0.0583127,
0.0519681,
0.0453177,
0.0383823,
0.0311804,
0.0237281,
0.0160397,
0.00812664,
-8.3658e-09,
-0.0165126,
-0.0332285,
-0.137916,
-2.00861
],
[
5.43619,
0.333613,
0.096283,
0.0952501,
0.0939601,
0.0931168,
0.0920496,
0.0906912,
0.088988,
0.0868945,
0.084371,
0.081386,
0.0779176,
0.0739537,
0.0694909,
0.0645328,
0.0590884,
0.0531719,
0.0467996,
0.0399892,
0.0327592,
0.025128,
0.0171134,
0.00873223,
-9.02401e-09,
-0.0180359,
-0.0365634,
-0.146098,
-2.0096
],
[
5.42517,
0.319591,
0.0778312,
0.0765831,
0.0752519,
0.0745431,
0.0737967,
0.0730014,
0.0721373,
0.071167,
0.0700161,
0.0685604,
0.066672,
0.0642724,
0.0613217,
0.0577965,
0.0536828,
0.0489745,
0.0436721,
0.0377814,
0.0313136,
0.0242834,
0.0167083,
0.00860733,
-1.0751e-08,
-0.0182233,
-0.0374009,
-0.149258,
-2.01
],
[
5.42025,
0.314503,
0.0690721,
0.0678065,
0.0664829,
0.0657914,
0.0650759,
0.0643314,
0.0635501,
0.0627192,
0.0618155,
0.0607921,
0.0595514,
0.057937,
0.0558081,
0.0530905,
0.0497491,
0.045766,
0.0411324,
0.0358468,
0.0299146,
0.0233469,
0.0161592,
0.00836997,
-1.18911e-08,
-0.0179814,
-0.0371759,
-0.149568,
-2.01007
],
[
5.41584,
0.315445,
0.0593476,
0.058092,
0.0568037,
0.0561391,
0.0554585,
0.0547595,
0.0540388,
0.0532912,
0.0525085,
0.0516766,
0.0507682,
0.0497238,
0.048413,
0.0466419,
0.0442643,
0.0412141,
0.0374617,
0.0329928,
0.0278028,
0.0218954,
0.015281,
0.00797575,
-1.70987e-08,
-0.0174925,
-0.0365391,
-0.149261,
-2.01009
],
[
5.4138,
0.319768,
0.0542608,
0.052982,
0.0517202,
0.0510724,
0.0504116,
0.0497362,
0.0490438,
0.048331,
0.0475927,
0.0468205,
0.0459998,
0.0451011,
0.0440563,
0.0427134,
0.040859,
0.0383488,
0.0351214,
0.0311493,
0.0264198,
0.0209307,
0.0146879,
0.00770467,
-3.02214e-08,
-0.0171309,
-0.0360248,
-0.148813,
-2.01007
],
[
5.41172,
0.326135,
0.049284,
0.0477673,
0.0465356,
0.0459069,
0.0452678,
0.044617,
0.0439529,
0.0432733,
0.0425749,
0.0418526,
0.0410981,
0.0402962,
0.0394148,
0.0383755,
0.0369998,
0.0350563,
0.0324054,
0.0289902,
0.0247855,
0.0197801,
0.0139737,
0.00737488,
-1.08951e-07,
-0.0166758,
-0.0353539,
-0.148129,
-2.01003
],
[
5.40954,
0.333564,
0.0454253,
0.0425129,
0.0413029,
0.0406954,
0.0400797,
0.0394549,
0.0388199,
0.0381732,
0.0375125,
0.0368349,
0.0361353,
0.0354056,
0.03463,
0.0337737,
0.0327449,
0.0313337,
0.0292931,
0.0264912,
0.0228758,
0.0184231,
0.0131234,
0.00697824,
-7.56084e-07,
-0.0161146,
-0.034505,
-0.147177,
-2.00997
],
[
5.40726,
0.341215,
0.0450772,
0.0373616,
0.0360803,
0.0354958,
0.0349056,
0.0343083,
0.0337034,
0.0330898,
0.0324662,
0.0318306,
0.03118,
0.0305097,
0.0298118,
0.0290702,
0.0282468,
0.0272326,
0.0257805,
0.0236328,
0.0206679,
0.0168375,
0.0121186,
0.00650273,
-6.40859e-06,
-0.0154383,
-0.0334598,
-0.145929,
-2.0099
],
[
5.4049,
0.34859,
0.0489477,
0.0328992,
0.0309312,
0.0303664,
0.0298025,
0.0292338,
0.0286596,
0.0280793,
0.0274919,
0.0268963,
0.0262906,
0.0256723,
0.0250369,
0.0243768,
0.0236758,
0.022892,
0.0218934,
0.0203907,
0.0181227,
0.0149793,
0.0109151,
0.00590706,
-5.25577e-05,
-0.0146726,
-0.0322391,
-0.144414,
-2.00994
],
[
5.40252,
0.355488,
0.0547428,
0.030965,
0.0259195,
0.0253302,
0.0247851,
0.0242391,
0.0236898,
0.0231364,
0.0225782,
0.0220143,
0.0214437,
0.0208648,
0.0202754,
0.0196713,
0.0190456,
0.018382,
0.0176338,
0.0166389,
0.0150617,
0.0126471,
0.00929625,
0.00496436,
-0.000372774,
-0.0140649,
-0.0311116,
-0.143,
-2.01076
],
[
5.40015,
0.361855,
0.0604194,
0.0322362,
0.0210997,
0.0201634,
0.0195665,
0.0189953,
0.0184277,
0.0178591,
0.0172876,
0.0167121,
0.0161316,
0.0155451,
0.0149511,
0.0143476,
0.0137313,
0.0130955,
0.0124248,
0.0116662,
0.0106178,
0.00889885,
0.00626931,
0.00263968,
-0.00203744,
-0.0147515,
-0.0312865,
-0.143094,
-2.01416
],
[
5.39786,
0.367672,
0.0651104,
0.0340841,
0.0165349,
0.0139441,
0.0128369,
0.0120481,
0.011325,
0.0106259,
0.00993749,
0.00925394,
0.0085721,
0.00788994,
0.00720589,
0.00651847,
0.00582583,
0.00512514,
0.00441085,
0.00366823,
0.0028373,
0.00166527,
-0.00026728,
-0.00317722,
-0.00715036,
-0.0187948,
-0.0347729,
-0.146347,
-2.02107
],
[
5.39567,
0.372888,
0.0687236,
0.035246,
0.0123597,
0.00669243,
0.00372665,
0.00222787,
0.0011511,
0.000213682,
-0.000658801,
-0.00149449,
-0.00230676,
-0.00310295,
-0.00388754,
-0.00466358,
-0.00543336,
-0.00619905,
-0.00696327,
-0.00773074,
-0.008515,
-0.00938495,
-0.0106579,
-0.0127761,
-0.0159283,
-0.0262238,
-0.0414082,
-0.151973,
-2.02979
],
[
5.39364,
0.377427,
0.0715134,
0.0358651,
0.0087035,
-8.65539e-05,
-0.00580856,
-0.00894983,
-0.0107149,
-0.0120059,
-0.0131016,
-0.0140947,
-0.0150245,
-0.0159112,
-0.016766,
-0.017596,
-0.0184058,
-0.0191989,
-0.0199777,
-0.0207449,
-0.0215044,
-0.0222682,
-0.0231188,
-0.0244551,
-0.0267054,
-0.0353545,
-0.0494761,
-0.158244,
-2.03869
],
[
5.39178,
0.381242,
0.0737762,
0.0362578,
0.00563893,
-0.00572914,
-0.0140873,
-0.0195364,
-0.0225603,
-0.0244131,
-0.0258084,
-0.0269847,
-0.0280347,
-0.029002,
-0.0299101,
-0.0307729,
-0.0315994,
-0.0323954,
-0.0331652,
-0.0339119,
-0.0346382,
-0.0353476,
-0.0360514,
-0.036865,
-0.038274,
-0.0450512,
-0.0578552,
-0.164147,
-2.04712
],
[
5.39014,
0.38438,
0.0757645,
0.0366732,
0.00322862,
-0.0101932,
-0.0207872,
-0.0285395,
-0.0333931,
-0.0361442,
-0.0379683,
-0.0393801,
-0.0405705,
-0.0416236,
-0.0425824,
-0.0434717,
-0.0443067,
-0.0450978,
-0.0458522,
-0.0465752,
-0.047271,
-0.0479431,
-0.0485955,
-0.0492437,
-0.0501031,
-0.0549122,
-0.0661135,
-0.169258,
-2.05496
],
[
5.38875,
0.387004,
0.0776882,
0.0372972,
0.00153364,
-0.0135412,
-0.0259904,
-0.0357646,
-0.0426698,
-0.0467626,
-0.0492242,
-0.0509632,
-0.0523411,
-0.0535086,
-0.0545393,
-0.0554737,
-0.0563366,
-0.0571443,
-0.057908,
-0.0586358,
-0.059334,
-0.0600074,
-0.0606599,
-0.0612962,
-0.0619735,
-0.0650145,
-0.0742266,
-0.173555,
-2.0622
],
[
5.38766,
0.389337,
0.0797046,
0.0382444,
0.000562504,
-0.0158695,
-0.0298704,
-0.0413796,
-0.0502295,
-0.0560676,
-0.0594931,
-0.0617221,
-0.0633822,
-0.0647314,
-0.0658894,
-0.0669188,
-0.0678566,
-0.068726,
-0.0695425,
-0.0703172,
-0.0710578,
-0.0717704,
-0.0724594,
-0.0731289,
-0.0737947,
-0.0757302,
-0.0825654,
-0.177483,
-2.06894
],
[
5.38692,
0.391569,
0.0818465,
0.039476,
0.000161483,
-0.0174182,
-0.0327468,
-0.0457612,
-0.0563376,
-0.0640845,
-0.0688844,
-0.0718436,
-0.0739176,
-0.0755326,
-0.0768782,
-0.0780497,
-0.0791004,
-0.0800631,
-0.0809589,
-0.0818025,
-0.082604,
-0.083371,
-0.0841095,
-0.0848238,
-0.0855208,
-0.0870482,
-0.0915897,
-0.181582,
-2.07527
],
[
5.38654,
0.393738,
0.0839569,
0.0407759,
4.31157e-05,
-0.0185214,
-0.0349943,
-0.0493145,
-0.0613885,
-0.0709289,
-0.0774365,
-0.0814258,
-0.0840684,
-0.0860322,
-0.0876152,
-0.0889609,
-0.0901465,
-0.0912181,
-0.0922045,
-0.0931251,
-0.0939934,
-0.0948192,
-0.0956098,
-0.096371,
-0.0971079,
-0.0985697,
-0.10143,
-0.186118,
-2.08129
],
[
5.38646,
0.395716,
0.0858497,
0.0419599,
1.22788e-05,
-0.0193892,
-0.0368383,
-0.0522784,
-0.0656353,
-0.076722,
-0.0850303,
-0.0903839,
-0.0937968,
-0.0962104,
-0.0980845,
-0.0996353,
-0.100975,
-0.102167,
-0.103251,
-0.104253,
-0.105191,
-0.106077,
-0.10692,
-0.107727,
-0.108504,
-0.11,
-0.111958,
-0.19116,
-2.08702
],
[
5.38652,
0.397389,
0.0874653,
0.0429761,
3.78527e-06,
-0.0201031,
-0.0383793,
-0.0547729,
-0.0692232,
-0.0816075,
-0.0915617,
-0.0985405,
-0.102987,
-0.105989,
-0.108224,
-0.110018,
-0.111533,
-0.112858,
-0.114047,
-0.115134,
-0.116142,
-0.117087,
-0.117981,
-0.118832,
-0.119648,
-0.121196,
-0.122826,
-0.196672,
-2.09247
],
[
5.38662,
0.398748,
0.0888186,
0.0438311,
1.29253e-06,
-0.020698,
-0.0396724,
-0.0568754,
-0.0722551,
-0.0857264,
-0.0970603,
-0.10571,
-0.111478,
-0.115258,
-0.117951,
-0.120037,
-0.121752,
-0.123223,
-0.124523,
-0.125697,
-0.126774,
-0.127775,
-0.128715,
-0.129605,
-0.130453,
-0.132047,
-0.133598,
-0.202533,
-2.0976
],
[
5.3867,
0.399823,
0.0899403,
0.0445439,
5.46823e-07,
-0.0211948,
-0.0407576,
-0.0586458,
-0.0748142,
-0.089199,
-0.101657,
-0.111796,
-0.119086,
-0.123879,
-0.127158,
-0.129598,
-0.131543,
-0.133172,
-0.134585,
-0.135842,
-0.136982,
-0.13803,
-0.139006,
-0.139922,
-0.140789,
-0.142403,
-0.143925,
-0.20852,
-2.10227
],
[
5.3868,
0.401265,
0.0916081,
0.0456175,
2.87311e-07,
-0.0219529,
-0.0424251,
-0.0613809,
-0.0787832,
-0.0945867,
-0.108718,
-0.121015,
-0.131091,
-0.13847,
-0.143418,
-0.146803,
-0.149288,
-0.151236,
-0.152837,
-0.154202,
-0.155396,
-0.156461,
-0.157427,
-0.158313,
-0.159133,
-0.16062,
-0.161967,
-0.219516,
-2.10956
],
[
5.38684,
0.401959,
0.0926719,
0.0463236,
2.8151e-07,
-0.0224696,
-0.0435763,
-0.0632883,
-0.0815718,
-0.0983859,
-0.11367,
-0.127314,
-0.139095,
-0.148626,
-0.155563,
-0.160192,
-0.163291,
-0.165497,
-0.167172,
-0.168511,
-0.169625,
-0.17058,
-0.171416,
-0.172163,
-0.172839,
-0.174029,
-0.17508,
-0.22786,
-2.11424
],
[
5.38668,
0.401168,
0.0935669,
0.0470577,
3.05298e-07,
-0.0231254,
-0.0451234,
-0.0659637,
-0.0856109,
-0.104015,
-0.121086,
-0.136626,
-0.150222,
-0.161318,
-0.169779,
-0.176101,
-0.180899,
-0.184601,
-0.187435,
-0.189541,
-0.191072,
-0.192199,
-0.193061,
-0.193748,
-0.194316,
-0.195222,
-0.195954,
-0.244138,
-2.12337
],
[
5.38602,
0.39541,
0.0915134,
0.0461616,
4.21325e-07,
-0.0228664,
-0.0448274,
-0.0658503,
-0.0858942,
-0.104898,
-0.122742,
-0.13915,
-0.153492,
-0.164964,
-0.173524,
-0.179926,
-0.184918,
-0.188991,
-0.192434,
-0.195424,
-0.198074,
-0.200456,
-0.202618,
-0.204593,
-0.206399,
-0.209516,
-0.211862,
-0.260059,
-2.13402
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000524,
"miller_cap_rise": 0.000409,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.01910496,
0.03447437,
0.0524821,
0.08554388,
0.1546683
],
"values": [
1.62,
1.26,
0.9,
0.54,
0.18
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.07524119,
0.09647017,
0.113812,
0.1459644,
0.214943
],
"values": [
1.62,
1.26,
0.9,
0.54,
0.18
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.04195433,
0.09681892,
0.1543688,
0.2187833,
0.3866209
],
"values": [
0.18,
0.54,
0.9,
1.26,
1.62
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.1025178,
0.1566806,
0.2142682,
0.2786656,
0.4463285
],
"values": [
0.18,
0.54,
0.9,
1.26,
1.62
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.91142578125
],
"index_2": [
1.52684818085017
],
"index_3": [
0.001
],
"index_4": [
0.7376077,
0.7858289,
0.8755543,
0.9868206,
1.093541
],
"values": [
1.5741789,
1.43868624,
1.3483578,
1.43868624,
1.5741789
]
},
{
"index_1": [
1.07314453125
],
"index_2": [
0.683001913911545
],
"index_3": [
0.001
],
"index_4": [
0.3311443,
0.3626329,
0.4217092,
0.4951869,
0.5787234
],
"values": [
1.49365955,
1.30985528,
1.1873191,
1.30985528,
1.49365955
]
},
{
"index_1": [
1.23486328125
],
"index_2": [
0.400980553362609
],
"index_3": [
0.001
],
"index_4": [
0.1953086,
0.2193247,
0.2629832,
0.3155227,
0.3809737
],
"values": [
1.44984335,
1.23974936,
1.0996867,
1.23974936,
1.44984335
]
},
{
"index_1": [
0.91142578125
],
"index_2": [
0.763424090425087
],
"index_3": [
0.001
],
"index_4": [
0.3716213,
0.3958172,
0.4430755,
0.5110071,
0.5998672
],
"values": [
1.6765457,
1.60247312,
1.5530914,
1.60247312,
1.6765457
]
},
{
"index_1": [
1.07314453125
],
"index_2": [
0.341500956955772
],
"index_3": [
0.001
],
"index_4": [
0.1687236,
0.1838652,
0.2138272,
0.2549259,
0.3326452
],
"values": [
1.6398914,
1.54382624,
1.4797828,
1.54382624,
1.6398914
]
},
{
"index_1": [
1.23486328125
],
"index_2": [
0.200490276681304
],
"index_3": [
0.001
],
"index_4": [
0.1005135,
0.111653,
0.1302323,
0.1636599,
0.2196966
],
"values": [
1.6181126,
1.50898016,
1.4362252,
1.50898016,
1.6181126
]
},
{
"index_1": [
1.07314453125
],
"index_2": [
1.02450287086732
],
"index_3": [
0.001
],
"index_4": [
0.492064,
0.5374566,
0.6237467,
0.7358234,
0.8387333
],
"values": [
1.37088065,
1.11340904,
0.9417613,
1.11340904,
1.37088065
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
0.981269256699815
],
"index_2": [
2
],
"index_3": [
0.001
],
"index_4": [
0.9294034,
0.996458,
1.053905,
1.144949,
1.225767
],
"values": [
0.05596595,
0.08954552,
0.1119319,
0.08954552,
0.05596595
]
},
{
"index_1": [
1.14521484375
],
"index_2": [
1.97710546136787
],
"index_3": [
0.001
],
"index_4": [
0.953428,
1.020203,
1.113808,
1.219318,
1.287422
],
"values": [
0.21450875,
0.343214,
0.4290175,
0.343214,
0.21450875
]
},
{
"index_1": [
1.30517578125
],
"index_2": [
1.25458043663132
],
"index_3": [
0.001
],
"index_4": [
0.605736,
0.6713131,
0.7715397,
0.8550317,
0.9122348
],
"values": [
0.3169012,
0.50704192,
0.6338024,
0.50704192,
0.3169012
]
},
{
"index_1": [
0.981269256699815
],
"index_2": [
1.8257779780251
],
"index_3": [
0.001
],
"index_4": [
0.8499117,
0.9106693,
0.9790323,
1.052184,
1.12894
],
"values": [
0.05392645,
0.08628232,
0.1078529,
0.08628232,
0.05392645
]
},
{
"index_1": [
1.14521484375
],
"index_2": [
0.988552730683936
],
"index_3": [
0.001
],
"index_4": [
0.4761863,
0.5128585,
0.5668279,
0.6257533,
0.6752989
],
"values": [
0.1238479,
0.19815664,
0.2476958,
0.19815664,
0.1238479
]
},
{
"index_1": [
1.30517578125
],
"index_2": [
0.627290218315662
],
"index_3": [
0.001
],
"index_4": [
0.3053482,
0.339223,
0.3922988,
0.4366539,
0.4753314
],
"values": [
0.1718805,
0.2750088,
0.343761,
0.2750088,
0.1718805
]
},
{
"index_1": [
1.14521484375
],
"index_2": [
2
],
"index_3": [
0.001
],
"index_4": [
0.9645058,
1.031921,
1.126968,
1.23295,
1.301545
],
"values": [
0.21641155,
0.34625848,
0.4328231,
0.34625848,
0.21641155
]
}
]
},
"stage_type": "both"
},
"clock": "false",
"direction": "input",
"internal_power": {
"fall_power hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.02193,
0.0216,
0.02153,
0.02152,
0.02135,
0.02143,
0.0214,
0.02153,
0.02178,
0.02431,
0.02547,
0.02947,
0.03252
]
},
"rise_power hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.01436,
0.01525,
0.01238,
0.01289,
0.01333,
0.01282,
0.01271,
0.01275,
0.01289,
0.01435,
0.01506,
0.01764,
0.02015
]
}
},
"max_transition": 1.0,
"nextstate_type": "data",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"fall_constraint sethold_template_fall10x10": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.1875,
0.17871,
0.16992,
0.16113,
0.15527,
0.15234,
0.15527,
0.16406,
0.17578,
0.19043
],
[
0.19336,
0.18457,
0.17578,
0.16699,
0.16113,
0.1582,
0.16113,
0.16699,
0.18164,
0.19336
],
[
0.20215,
0.19629,
0.1875,
0.17578,
0.16992,
0.16699,
0.16992,
0.17871,
0.19043,
0.20508
],
[
0.22559,
0.2168,
0.20801,
0.19922,
0.19043,
0.19043,
0.19336,
0.19922,
0.21387,
0.22559
],
[
0.26953,
0.26367,
0.25488,
0.24609,
0.2373,
0.23438,
0.2373,
0.24316,
0.25488,
0.26953
],
[
0.35742,
0.35156,
0.3457,
0.33398,
0.32812,
0.32227,
0.32227,
0.32812,
0.33984,
0.3457
],
[
0.43359,
0.42188,
0.41602,
0.4043,
0.39844,
0.39258,
0.39258,
0.39844,
0.41016,
0.42188
],
[
0.49219,
0.48633,
0.48047,
0.46875,
0.46289,
0.45703,
0.45703,
0.46289,
0.46875,
0.48047
],
[
0.54492,
0.53906,
0.5332,
0.52148,
0.51562,
0.50977,
0.51562,
0.51562,
0.52734,
0.53906
],
[
0.59766,
0.59766,
0.58594,
0.57422,
0.56836,
0.5625,
0.56836,
0.56836,
0.57422,
0.58594
]
]
},
"related_pin": "CLK",
"rise_constraint sethold_template_rise10x10": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.12891,
0.11719,
0.11426,
0.10254,
0.09082,
0.08789,
0.09961,
0.11133,
0.12305,
0.13477
],
[
0.1377,
0.12598,
0.11719,
0.10547,
0.09668,
0.09668,
0.10547,
0.11719,
0.12891,
0.14355
],
[
0.14648,
0.13477,
0.12891,
0.11426,
0.10547,
0.10547,
0.11426,
0.12598,
0.1377,
0.15234
],
[
0.1582,
0.15234,
0.14941,
0.13477,
0.12305,
0.12305,
0.13184,
0.14355,
0.15527,
0.16699
],
[
0.19043,
0.18457,
0.17285,
0.16113,
0.14648,
0.15234,
0.15527,
0.16699,
0.17871,
0.19336
],
[
0.22266,
0.2168,
0.20508,
0.19336,
0.18457,
0.17871,
0.18457,
0.19629,
0.20801,
0.21973
],
[
0.24023,
0.23438,
0.22266,
0.21387,
0.20215,
0.20215,
0.20215,
0.21094,
0.22266,
0.2373
],
[
0.25488,
0.24609,
0.23438,
0.22852,
0.21387,
0.21094,
0.21387,
0.22266,
0.23145,
0.24609
],
[
0.25781,
0.25488,
0.24316,
0.23145,
0.21973,
0.2168,
0.21973,
0.22852,
0.2373,
0.25195
],
[
0.26367,
0.25195,
0.24609,
0.23438,
0.21973,
0.2168,
0.22266,
0.22852,
0.24023,
0.25195
]
]
},
"timing_type": "setup_rising"
},
{
"fall_constraint sethold_template_fall10x10": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.13476,
-0.13183,
-0.12304,
-0.11427,
-0.10255,
-0.0879,
-0.07911,
-0.06446,
-0.0586,
-0.05567
],
[
-0.14062,
-0.13769,
-0.1289,
-0.12011,
-0.10841,
-0.09376,
-0.08497,
-0.07325,
-0.06446,
-0.06446
],
[
-0.14941,
-0.14355,
-0.14062,
-0.13476,
-0.11718,
-0.10255,
-0.09376,
-0.08497,
-0.07911,
-0.07032
],
[
-0.17285,
-0.16992,
-0.16113,
-0.15234,
-0.14355,
-0.12597,
-0.11132,
-0.10546,
-0.09667,
-0.09667
],
[
-0.22265,
-0.21093,
-0.20802,
-0.19923,
-0.19044,
-0.17578,
-0.167,
-0.16114,
-0.15528,
-0.14649
],
[
-0.29882,
-0.29298,
-0.28712,
-0.28712,
-0.26954,
-0.26367,
-0.25781,
-0.25196,
-0.2461,
-0.24024
],
[
-0.36329,
-0.36328,
-0.35742,
-0.35742,
-0.33984,
-0.33398,
-0.32226,
-0.32812,
-0.3164,
-0.3164
],
[
-0.42187,
-0.41601,
-0.41015,
-0.41015,
-0.39257,
-0.38673,
-0.38673,
-0.38087,
-0.38671,
-0.38671
],
[
-0.47462,
-0.46876,
-0.4629,
-0.4629,
-0.45704,
-0.45117,
-0.44532,
-0.44532,
-0.4336,
-0.4336
],
[
-0.51562,
-0.5039,
-0.5039,
-0.5039,
-0.49804,
-0.5039,
-0.49804,
-0.49804,
-0.49218,
-0.49218
]
]
},
"related_pin": "CLK",
"rise_constraint sethold_template_rise10x10": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.01757,
-0.01757,
-0.00878,
-0.01464,
-0.0205,
-0.03515,
-0.04101,
-0.05273,
-0.06445,
-0.07617
],
[
-0.0205,
-0.0205,
-0.02343,
-0.02343,
-0.03222,
-0.03808,
-0.04687,
-0.05859,
-0.07031,
-0.08497
],
[
-0.03516,
-0.03515,
-0.02929,
-0.03222,
-0.04101,
-0.04687,
-0.05566,
-0.06738,
-0.0791,
-0.09376
],
[
-0.0586,
-0.05274,
-0.04395,
-0.04687,
-0.05859,
-0.06445,
-0.07324,
-0.08497,
-0.09669,
-0.10841
],
[
-0.07911,
-0.07325,
-0.07325,
-0.07325,
-0.08204,
-0.08204,
-0.09669,
-0.10841,
-0.12011,
-0.1289
],
[
-0.10546,
-0.09374,
-0.09374,
-0.09374,
-0.09667,
-0.10839,
-0.11425,
-0.12597,
-0.13769,
-0.14941
],
[
-0.11719,
-0.10546,
-0.10546,
-0.10253,
-0.10839,
-0.10839,
-0.12597,
-0.13476,
-0.14648,
-0.16114
],
[
-0.11426,
-0.11133,
-0.11132,
-0.0996,
-0.10839,
-0.11718,
-0.12597,
-0.14062,
-0.15527,
-0.16407
],
[
-0.11719,
-0.1084,
-0.10254,
-0.10253,
-0.10839,
-0.11718,
-0.12597,
-0.14062,
-0.15528,
-0.16407
],
[
-0.10547,
-0.10547,
-0.09961,
-0.09374,
-0.10253,
-0.11132,
-0.12304,
-0.14062,
-0.15235,
-0.16407
]
]
},
"timing_type": "hold_rising"
}
]
},
"pin Q": {
"ccsn_last_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.8,
-0.9,
-0.36,
-0.18,
0,
0.09,
0.18,
0.27,
0.36,
0.45,
0.54,
0.63,
0.72,
0.81,
0.9,
0.99,
1.08,
1.17,
1.26,
1.35,
1.44,
1.53,
1.62,
1.71,
1.8,
1.98,
2.16,
2.7,
3.6
],
"index_2": [
-1.8,
-0.9,
-0.36,
-0.18,
0,
0.09,
0.18,
0.27,
0.36,
0.45,
0.54,
0.63,
0.72,
0.81,
0.9,
0.99,
1.08,
1.17,
1.26,
1.35,
1.44,
1.53,
1.62,
1.71,
1.8,
1.98,
2.16,
2.7,
3.6
],
"values": [
[
7.35113,
0.864324,
0.590713,
0.56136,
0.528282,
0.510327,
0.49143,
0.471594,
0.450826,
0.429132,
0.40652,
0.383001,
0.358582,
0.333276,
0.307094,
0.280048,
0.25215,
0.223414,
0.193853,
0.163482,
0.132315,
0.100369,
0.0676565,
0.034195,
-3.0932e-09,
-0.067477,
-0.132964,
-0.378233,
-3.42094
],
[
7.20682,
0.74721,
0.499917,
0.483534,
0.465581,
0.455735,
0.445074,
0.433368,
0.420383,
0.405953,
0.389994,
0.372462,
0.353339,
0.332612,
0.310278,
0.286336,
0.260789,
0.233643,
0.204907,
0.174594,
0.142719,
0.1093,
0.0743583,
0.0379165,
-1.22352e-08,
-0.0760241,
-0.150607,
-0.431011,
-3.43604
],
[
7.05627,
0.609092,
0.374269,
0.363293,
0.351741,
0.345676,
0.339359,
0.332726,
0.325686,
0.318104,
0.309779,
0.300418,
0.28965,
0.277141,
0.262686,
0.246185,
0.227579,
0.206829,
0.183908,
0.158794,
0.13147,
0.101929,
0.0701677,
0.0361882,
-1.82897e-09,
-0.0748973,
-0.150781,
-0.437539,
-3.4425
],
[
7.01084,
0.557688,
0.322797,
0.313375,
0.303545,
0.298431,
0.293149,
0.287661,
0.281914,
0.275835,
0.269313,
0.262182,
0.254176,
0.24491,
0.233953,
0.220991,
0.20586,
0.188474,
0.168773,
0.146713,
0.122257,
0.0953758,
0.0660482,
0.0342588,
-1.81349e-09,
-0.0722393,
-0.146922,
-0.43243,
-3.44216
],
[
6.97242,
0.518629,
0.26873,
0.260728,
0.252458,
0.24819,
0.243812,
0.239302,
0.234632,
0.229759,
0.224629,
0.219156,
0.213208,
0.206568,
0.198876,
0.18963,
0.178385,
0.164887,
0.149006,
0.130661,
0.109784,
0.0863236,
0.0602308,
0.0314669,
-3.35239e-09,
-0.0680449,
-0.140358,
-0.422222,
-3.44055
],
[
6.95389,
0.50906,
0.241389,
0.233995,
0.226446,
0.222565,
0.218596,
0.214524,
0.210326,
0.205974,
0.201427,
0.196625,
0.191479,
0.185843,
0.179466,
0.171918,
0.162645,
0.151224,
0.137436,
0.121164,
0.102323,
0.0808436,
0.0566644,
0.0297318,
-1.59023e-08,
-0.0653194,
-0.135948,
-0.414953,
-3.43925
],
[
6.93524,
0.506205,
0.214466,
0.207372,
0.200503,
0.196986,
0.193401,
0.189735,
0.185974,
0.182096,
0.178071,
0.173861,
0.169403,
0.164604,
0.1593,
0.153195,
0.145773,
0.136445,
0.124833,
0.110751,
0.094086,
0.0747508,
0.0526692,
0.0277727,
-1.21878e-07,
-0.0621615,
-0.130745,
-0.406131,
-3.43758
],
[
6.91656,
0.507923,
0.189407,
0.181185,
0.174941,
0.171766,
0.16854,
0.165254,
0.161895,
0.15845,
0.154899,
0.151212,
0.147352,
0.143255,
0.138823,
0.133873,
0.128042,
0.120719,
0.111315,
0.0995079,
0.0851347,
0.0680856,
0.0482681,
0.0255983,
-1.02047e-06,
-0.0585747,
-0.124739,
-0.395699,
-3.43552
],
[
6.89815,
0.51194,
0.170172,
0.155857,
0.150086,
0.147233,
0.144343,
0.141409,
0.138423,
0.135375,
0.132252,
0.129034,
0.125696,
0.122199,
0.118482,
0.11444,
0.109862,
0.104295,
0.097041,
0.0875495,
0.0755527,
0.0609043,
0.0434931,
0.0232198,
-8.44342e-06,
-0.0545795,
-0.117945,
-0.383642,
-3.43309
],
[
6.88039,
0.516823,
0.160624,
0.132291,
0.126269,
0.123711,
0.121135,
0.118529,
0.115887,
0.113204,
0.110469,
0.107671,
0.104793,
0.101811,
0.0986892,
0.095368,
0.0917357,
0.0875403,
0.0822149,
0.0750023,
0.0654222,
0.0532531,
0.0383577,
0.0206206,
-6.5915e-05,
-0.0502533,
-0.110451,
-0.370055,
-3.43055
],
[
6.86368,
0.522065,
0.158749,
0.113271,
0.103813,
0.101482,
0.09919,
0.0968816,
0.0945514,
0.0921946,
0.0898055,
0.0873763,
0.0848967,
0.0823526,
0.0797228,
0.0769747,
0.0740512,
0.0708329,
0.0670079,
0.0618769,
0.0546752,
0.0450065,
0.0326829,
0.01757,
-0.000453838,
-0.045958,
-0.102677,
-0.355548,
-3.42936
],
[
6.8484,
0.527766,
0.160687,
0.102396,
0.0830281,
0.0805658,
0.0784664,
0.0763803,
0.074286,
0.0721772,
0.0700493,
0.0678971,
0.0657142,
0.0634922,
0.0612193,
0.0588779,
0.0564395,
0.0538505,
0.0509804,
0.0474345,
0.0423835,
0.035111,
0.0253011,
0.0127873,
-0.00256564,
-0.0432992,
-0.0964233,
-0.342584,
-3.43454
],
[
6.83484,
0.534292,
0.164762,
0.0976389,
0.0641935,
0.0598476,
0.0574087,
0.0552552,
0.0531519,
0.0510605,
0.0489669,
0.0468635,
0.0447443,
0.0426033,
0.0404335,
0.0382256,
0.0359657,
0.0336302,
0.0311701,
0.0284404,
0.0249393,
0.0197363,
0.0122088,
0.002107,
-0.0107226,
-0.0468767,
-0.0965241,
-0.336634,
-3.45333
],
[
6.82325,
0.542055,
0.170675,
0.0953842,
0.0475509,
0.0376938,
0.0325179,
0.0293792,
0.026745,
0.0242826,
0.0218996,
0.0195588,
0.0172411,
0.014935,
0.0126319,
0.0103243,
0.00800393,
0.00565981,
0.00327335,
0.000800708,
-0.00192148,
-0.0055208,
-0.0109772,
-0.0187943,
-0.0291715,
-0.0607206,
-0.106721,
-0.340265,
-3.48477
],
[
6.81382,
0.55141,
0.178427,
0.0946765,
0.0332967,
0.0158314,
0.00487659,
-0.00114036,
-0.00503496,
-0.00821343,
-0.0110759,
-0.0137647,
-0.0163446,
-0.0188498,
-0.0213011,
-0.0237122,
-0.0260933,
-0.0284533,
-0.0308018,
-0.0331536,
-0.0355468,
-0.0381659,
-0.0417737,
-0.04733,
-0.0552086,
-0.0818401,
-0.123716,
-0.349188,
-3.52132
],
[
6.80667,
0.56261,
0.187972,
0.0956162,
0.0215792,
-0.00353063,
-0.0211207,
-0.0317634,
-0.0377846,
-0.042,
-0.0454685,
-0.0485483,
-0.0513911,
-0.0540733,
-0.0566381,
-0.0591126,
-0.0615144,
-0.0638564,
-0.0661483,
-0.0683993,
-0.0706208,
-0.0728451,
-0.0752826,
-0.0788303,
-0.084325,
-0.10589,
-0.143294,
-0.359544,
-3.55866
],
[
6.80185,
0.575809,
0.199176,
0.0983738,
0.0125026,
-0.019697,
-0.0437455,
-0.0597661,
-0.0687548,
-0.0743458,
-0.0785583,
-0.0820895,
-0.0852208,
-0.0880883,
-0.0907671,
-0.0933025,
-0.0957241,
-0.0980518,
-0.1003,
-0.102481,
-0.104603,
-0.106677,
-0.10873,
-0.111039,
-0.114588,
-0.131174,
-0.163913,
-0.370268,
-3.59576
],
[
6.79937,
0.591072,
0.211862,
0.103014,
0.00612191,
-0.0326193,
-0.0627955,
-0.0843751,
-0.0970571,
-0.104398,
-0.109518,
-0.113587,
-0.11706,
-0.120154,
-0.122983,
-0.125617,
-0.128099,
-0.13046,
-0.132722,
-0.134902,
-0.137011,
-0.13906,
-0.141061,
-0.143053,
-0.1455,
-0.157446,
-0.185381,
-0.381529,
-3.63253
],
[
6.79921,
0.608388,
0.225799,
0.109456,
0.00235229,
-0.0424643,
-0.0784604,
-0.105575,
-0.122563,
-0.13211,
-0.138367,
-0.143117,
-0.147045,
-0.150466,
-0.153544,
-0.156375,
-0.159022,
-0.161524,
-0.163912,
-0.166206,
-0.168423,
-0.170576,
-0.172675,
-0.174734,
-0.176892,
-0.18501,
-0.207926,
-0.393819,
-3.66903
],
[
6.80129,
0.627605,
0.240539,
0.117268,
0.000693086,
-0.0498099,
-0.0913677,
-0.123938,
-0.145738,
-0.158052,
-0.16576,
-0.171395,
-0.175939,
-0.179825,
-0.183276,
-0.186422,
-0.18934,
-0.192085,
-0.194692,
-0.197189,
-0.199595,
-0.201925,
-0.204191,
-0.206406,
-0.208615,
-0.21443,
-0.232013,
-0.407582,
-3.70525
],
[
6.80545,
0.648195,
0.255187,
0.125526,
0.000179548,
-0.0556357,
-0.102484,
-0.140354,
-0.167286,
-0.182952,
-0.19244,
-0.19915,
-0.204436,
-0.208883,
-0.212785,
-0.216306,
-0.219549,
-0.22258,
-0.225444,
-0.228174,
-0.230795,
-0.233325,
-0.235778,
-0.238168,
-0.240516,
-0.245539,
-0.258047,
-0.423072,
-3.74114
],
[
6.81126,
0.669194,
0.268803,
0.133369,
4.79211e-05,
-0.0606453,
-0.112435,
-0.155342,
-0.18749,
-0.207053,
-0.218654,
-0.226606,
-0.232729,
-0.237796,
-0.242189,
-0.246116,
-0.249706,
-0.25304,
-0.256174,
-0.259148,
-0.261992,
-0.264727,
-0.267372,
-0.269941,
-0.27245,
-0.277456,
-0.286142,
-0.440367,
-3.77661
],
[
6.81788,
0.68979,
0.280956,
0.140443,
1.33162e-05,
-0.0650835,
-0.121414,
-0.169024,
-0.20628,
-0.230229,
-0.244322,
-0.253699,
-0.260754,
-0.266497,
-0.271416,
-0.275773,
-0.279726,
-0.283375,
-0.286788,
-0.290013,
-0.293084,
-0.296029,
-0.298867,
-0.301616,
-0.304292,
-0.309521,
-0.316099,
-0.459428,
-3.81157
],
[
6.8246,
0.709603,
0.291577,
0.146676,
3.63066e-06,
-0.0690154,
-0.129466,
-0.181411,
-0.223524,
-0.252242,
-0.269271,
-0.280295,
-0.288394,
-0.294878,
-0.300362,
-0.305175,
-0.30951,
-0.313487,
-0.317188,
-0.32067,
-0.323974,
-0.327131,
-0.330165,
-0.333096,
-0.335942,
-0.341457,
-0.347308,
-0.480146,
-3.84591
],
[
6.83115,
0.728444,
0.300715,
0.152082,
8.8075e-07,
-0.0724683,
-0.136615,
-0.192508,
-0.239133,
-0.272832,
-0.293301,
-0.306249,
-0.315531,
-0.322832,
-0.32893,
-0.334229,
-0.338966,
-0.343286,
-0.347286,
-0.351034,
-0.354576,
-0.357951,
-0.361185,
-0.364301,
-0.367318,
-0.373137,
-0.378951,
-0.502372,
-3.87958
],
[
6.84342,
0.762573,
0.314918,
0.160585,
2.52307e-08,
-0.0780323,
-0.148327,
-0.210955,
-0.265385,
-0.308833,
-0.337732,
-0.355631,
-0.367845,
-0.377086,
-0.384592,
-0.390984,
-0.396607,
-0.401671,
-0.406312,
-0.410623,
-0.414669,
-0.418498,
-0.422147,
-0.425645,
-0.429016,
-0.435467,
-0.441716,
-0.550641,
-3.94461
],
[
6.85439,
0.79073,
0.324405,
0.166377,
6.75394e-09,
-0.0819946,
-0.156898,
-0.224769,
-0.28536,
-0.337087,
-0.37574,
-0.400541,
-0.416785,
-0.428554,
-0.437811,
-0.44551,
-0.452166,
-0.458078,
-0.463437,
-0.46837,
-0.472964,
-0.477284,
-0.481378,
-0.485282,
-0.489027,
-0.49614,
-0.502941,
-0.602788,
-4.00629
],
[
6.8768,
0.825907,
0.332728,
0.172009,
2.33912e-08,
-0.0867538,
-0.168271,
-0.244561,
-0.31561,
-0.381271,
-0.440893,
-0.492219,
-0.531322,
-0.558189,
-0.577039,
-0.591253,
-0.602662,
-0.612248,
-0.620569,
-0.627971,
-0.634675,
-0.640834,
-0.646556,
-0.651922,
-0.65699,
-0.666416,
-0.675137,
-0.768925,
-4.17004
],
[
6.86988,
0.787822,
0.313865,
0.162242,
1.03758e-07,
-0.0827247,
-0.162302,
-0.238722,
-0.311975,
-0.382054,
-0.448945,
-0.512617,
-0.572982,
-0.62978,
-0.682259,
-0.728571,
-0.76623,
-0.794946,
-0.816889,
-0.834307,
-0.848703,
-0.861,
-0.871777,
-0.881412,
-0.890159,
-0.905667,
-0.919251,
-1.0211,
-4.38373
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.00072,
"miller_cap_rise": 0.000637,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00612196666666666
],
"index_3": [
0.01669159,
0.02446633,
0.03256901,
0.04144599,
0.05435874
],
"values": [
1.62,
1.26,
0.9,
0.54,
0.18
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0183659
],
"index_3": [
0.02291039,
0.04313415,
0.06425001,
0.08721085,
0.1201565
],
"values": [
1.62,
1.26,
0.9,
0.54,
0.18
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00612196666666666
],
"index_3": [
0.06985547,
0.08413615,
0.09474657,
0.1041395,
0.1169889
],
"values": [
1.62,
1.26,
0.9,
0.54,
0.18
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0183659
],
"index_3": [
0.08214163,
0.1060948,
0.1272637,
0.1502177,
0.1830291
],
"values": [
1.62,
1.26,
0.9,
0.54,
0.18
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00420763333333333
],
"index_3": [
0.01826124,
0.02690317,
0.0364929,
0.04832296,
0.07051122
],
"values": [
0.18,
0.54,
0.9,
1.26,
1.62
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0126229
],
"index_3": [
0.02486057,
0.04643921,
0.07027804,
0.09950065,
0.151384
],
"values": [
0.18,
0.54,
0.9,
1.26,
1.62
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00420763333333333
],
"index_3": [
0.0727156,
0.08909768,
0.1009467,
0.112833,
0.1349817
],
"values": [
0.18,
0.54,
0.9,
1.26,
1.62
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0126229
],
"index_3": [
0.0857175,
0.1107431,
0.1345371,
0.1637606,
0.2156507
],
"values": [
0.18,
0.54,
0.9,
1.26,
1.62
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.95712890625
],
"index_2": [
0.890836720421513
],
"index_3": [
0.00612196666666666
],
"index_4": [
0.4366523,
0.4644606,
0.5105472,
0.5640544,
0.6033612
],
"values": [
1.4920746,
1.30731936,
1.1841492,
1.30731936,
1.4920746
]
},
{
"index_1": [
1.12060546875
],
"index_2": [
0.394668032996283
],
"index_3": [
0.00612196666666666
],
"index_4": [
0.1968174,
0.2162321,
0.2541113,
0.2905838,
0.3202555
],
"values": [
1.3697381,
1.11158096,
0.9394762,
1.11158096,
1.3697381
]
},
{
"index_1": [
1.27880859375
],
"index_2": [
0.233583593909194
],
"index_3": [
0.00612196666666666
],
"index_4": [
0.1188637,
0.1340469,
0.1596635,
0.1917274,
0.2150805
],
"values": [
1.3190098,
1.03041568,
0.8380196,
1.03041568,
1.3190098
]
},
{
"index_1": [
0.95712890625
],
"index_2": [
0.445418360210756
],
"index_3": [
0.00612196666666666
],
"index_4": [
0.2220124,
0.2368412,
0.2606211,
0.2947038,
0.3237651
],
"values": [
1.6272694,
1.52363104,
1.4545388,
1.52363104,
1.6272694
]
},
{
"index_1": [
1.27880859375
],
"index_2": [
0.116791796954597
],
"index_3": [
0.00612196666666666
],
"index_4": [
0.06275912,
0.0704889,
0.08305086,
0.1031184,
0.1207681
],
"values": [
1.5643238,
1.42291808,
1.3286476,
1.42291808,
1.5643238
]
},
{
"index_1": [
1.12060546875
],
"index_2": [
0.394668032996283
],
"index_3": [
0.0183659
],
"index_4": [
0.1991253,
0.220211,
0.255277,
0.3068519,
0.3549695
],
"values": [
1.61779995,
1.50847992,
1.4355999,
1.50847992,
1.61779995
]
},
{
"index_1": [
1.27880859375
],
"index_2": [
0.233583593909194
],
"index_3": [
0.0183659
],
"index_4": [
0.1204496,
0.136407,
0.1654897,
0.2047688,
0.2427172
],
"values": [
1.605371,
1.4885936,
1.410742,
1.4885936,
1.605371
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.00634765625
],
"index_2": [
0.750593204303737
],
"index_3": [
0.00420763333333333
],
"index_4": [
0.3644006,
0.3849913,
0.4151467,
0.4437658,
0.4660117
],
"values": [
0.1819762,
0.29116192,
0.3639524,
0.29116192,
0.1819762
]
},
{
"index_1": [
1.19267578125
],
"index_2": [
0.387439196088562
],
"index_3": [
0.00420763333333333
],
"index_4": [
0.1918602,
0.2098056,
0.2370752,
0.264894,
0.282167
],
"values": [
0.3760904,
0.60174464,
0.7521808,
0.60174464,
0.3760904
]
},
{
"index_1": [
1.34912109375
],
"index_2": [
0.25236899528657
],
"index_3": [
0.00420763333333333
],
"index_4": [
0.1270243,
0.1423983,
0.1640827,
0.1892953,
0.2035601
],
"values": [
0.4514952,
0.72239232,
0.9029904,
0.72239232,
0.4514952
]
},
{
"index_1": [
1.00634765625
],
"index_2": [
0.375296602151869
],
"index_3": [
0.00420763333333333
],
"index_4": [
0.1838294,
0.1954674,
0.2154314,
0.2319701,
0.2474601
],
"values": [
0.1133217,
0.18131472,
0.2266434,
0.18131472,
0.1133217
]
},
{
"index_1": [
1.34912109375
],
"index_2": [
0.126184497643285
],
"index_3": [
0.00420763333333333
],
"index_4": [
0.06672346,
0.07445425,
0.08488102,
0.09876434,
0.1075909
],
"values": [
0.2367172,
0.37874752,
0.4734344,
0.37874752,
0.2367172
]
},
{
"index_1": [
1.19267578125
],
"index_2": [
0.387439196088562
],
"index_3": [
0.0126229
],
"index_4": [
0.192882,
0.2126431,
0.2453265,
0.2740384,
0.2970142
],
"values": [
0.1744173,
0.27906768,
0.3488346,
0.27906768,
0.1744173
]
},
{
"index_1": [
1.34912109375
],
"index_2": [
0.25236899528657
],
"index_3": [
0.0126229
],
"index_4": [
0.1285129,
0.1449702,
0.1701348,
0.1952497,
0.2139308
],
"values": [
0.2015606,
0.32249696,
0.4031212,
0.32249696,
0.2015606
]
}
]
},
"stage_type": "both"
},
"direction": "output",
"function": "IQ",
"internal_power": {
"fall_power pwr_template13x20": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00943,
0.01132,
0.01359,
0.01631,
0.01957,
0.02348,
0.02818,
0.03381,
0.04057,
0.04869,
0.05843,
0.07011,
0.08413,
0.10095,
0.12114,
0.14537,
0.17444,
0.20933,
0.25119
],
"values": [
[
0.04261,
0.02789,
0.02488,
0.02126,
0.0169,
0.01166,
0.00537,
-0.00221,
-0.01131,
-0.02224,
-0.03538,
-0.05115,
-0.07006,
-0.09276,
-0.12,
-0.1527,
-0.19195,
-0.23903,
-0.29555,
-0.36336
],
[
0.04249,
0.02777,
0.02477,
0.02114,
0.01679,
0.01155,
0.00525,
-0.00233,
-0.01142,
-0.02236,
-0.03549,
-0.05126,
-0.07017,
-0.09288,
-0.12011,
-0.15281,
-0.19206,
-0.23914,
-0.29567,
-0.36348
],
[
0.04234,
0.02762,
0.02462,
0.02099,
0.01664,
0.0114,
0.0051,
-0.00248,
-0.01157,
-0.0225,
-0.03564,
-0.05141,
-0.07032,
-0.09302,
-0.12026,
-0.15296,
-0.19221,
-0.23929,
-0.29581,
-0.36362
],
[
0.04222,
0.02749,
0.02449,
0.02087,
0.01651,
0.01127,
0.00497,
-0.00261,
-0.0117,
-0.02263,
-0.03577,
-0.05154,
-0.07045,
-0.09315,
-0.12039,
-0.15309,
-0.19234,
-0.23943,
-0.29594,
-0.36375
],
[
0.04203,
0.02731,
0.02431,
0.02068,
0.01633,
0.01109,
0.00479,
-0.00279,
-0.01188,
-0.02281,
-0.03596,
-0.05172,
-0.07063,
-0.09333,
-0.12057,
-0.15327,
-0.19252,
-0.23961,
-0.29612,
-0.36393
],
[
0.04193,
0.0272,
0.0242,
0.02057,
0.01622,
0.01098,
0.00469,
-0.0029,
-0.01199,
-0.02292,
-0.03606,
-0.05183,
-0.07074,
-0.09344,
-0.12068,
-0.15338,
-0.19262,
-0.23971,
-0.29623,
-0.36404
],
[
0.04196,
0.02724,
0.02423,
0.02061,
0.01625,
0.01101,
0.00472,
-0.00286,
-0.01196,
-0.02289,
-0.03603,
-0.0518,
-0.07071,
-0.09341,
-0.12065,
-0.15335,
-0.19259,
-0.23968,
-0.29619,
-0.364
],
[
0.04223,
0.02751,
0.0245,
0.02088,
0.01653,
0.01129,
0.00499,
-0.00259,
-0.01168,
-0.02261,
-0.03576,
-0.05152,
-0.07043,
-0.09314,
-0.12038,
-0.15308,
-0.19232,
-0.23941,
-0.29593,
-0.36374
],
[
0.04293,
0.0282,
0.0252,
0.02157,
0.01721,
0.01198,
0.00568,
-0.0019,
-0.01099,
-0.02192,
-0.03506,
-0.05082,
-0.06973,
-0.09243,
-0.11967,
-0.15237,
-0.19162,
-0.23871,
-0.29523,
-0.36304
],
[
0.04435,
0.02962,
0.02661,
0.02298,
0.01862,
0.01338,
0.00709,
-0.0005,
-0.00959,
-0.02051,
-0.03365,
-0.04941,
-0.06832,
-0.09102,
-0.11826,
-0.15096,
-0.19021,
-0.23729,
-0.29381,
-0.36162
],
[
0.04679,
0.03207,
0.02907,
0.02544,
0.02109,
0.01585,
0.00955,
0.00197,
-0.00713,
-0.01806,
-0.0312,
-0.04697,
-0.06588,
-0.08858,
-0.11582,
-0.14852,
-0.18776,
-0.23484,
-0.29136,
-0.35917
],
[
0.051,
0.03628,
0.03328,
0.02965,
0.0253,
0.02006,
0.01376,
0.00618,
-0.00291,
-0.01384,
-0.02698,
-0.04275,
-0.06166,
-0.08436,
-0.1116,
-0.14431,
-0.18355,
-0.23063,
-0.28715,
-0.35496
],
[
0.05782,
0.0431,
0.04009,
0.03646,
0.03211,
0.02687,
0.02057,
0.01298,
0.00389,
-0.00705,
-0.02019,
-0.03595,
-0.05486,
-0.07756,
-0.1048,
-0.13749,
-0.17674,
-0.22383,
-0.28034,
-0.34816
]
]
},
"related_pin": "CLK",
"rise_power pwr_template13x20": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00943,
0.01132,
0.01359,
0.01631,
0.01957,
0.02348,
0.02818,
0.03381,
0.04057,
0.04869,
0.05843,
0.07011,
0.08413,
0.10095,
0.12114,
0.14537,
0.17444,
0.20933,
0.25119
],
"values": [
[
0.0436,
0.05922,
0.0623,
0.06599,
0.07044,
0.07578,
0.08201,
0.08962,
0.0986,
0.1095,
0.12271,
0.13826,
0.15691,
0.17947,
0.20631,
0.23873,
0.27752,
0.32469,
0.38043,
0.44743
],
[
0.04349,
0.05909,
0.06219,
0.06593,
0.07038,
0.07563,
0.08197,
0.08955,
0.09855,
0.10955,
0.12251,
0.13827,
0.15678,
0.17942,
0.20626,
0.23887,
0.27742,
0.32422,
0.38044,
0.44768
],
[
0.04337,
0.05897,
0.06206,
0.06581,
0.07023,
0.07554,
0.08181,
0.08944,
0.0984,
0.10944,
0.12235,
0.13817,
0.15666,
0.17936,
0.20639,
0.23861,
0.27724,
0.32416,
0.38069,
0.44763
],
[
0.04319,
0.05883,
0.06194,
0.06563,
0.07004,
0.07537,
0.08169,
0.08928,
0.0983,
0.10922,
0.12222,
0.13794,
0.15662,
0.17905,
0.20598,
0.23837,
0.27718,
0.32435,
0.38054,
0.44755
],
[
0.04301,
0.05858,
0.06169,
0.06546,
0.06989,
0.07512,
0.08149,
0.08908,
0.09813,
0.10908,
0.12201,
0.1378,
0.15633,
0.17884,
0.20618,
0.23815,
0.27695,
0.32414,
0.38018,
0.44739
],
[
0.04283,
0.05843,
0.06151,
0.06529,
0.06972,
0.07498,
0.08124,
0.08888,
0.09792,
0.10891,
0.12185,
0.13762,
0.15614,
0.1787,
0.20601,
0.23819,
0.2769,
0.32398,
0.37964,
0.44728
],
[
0.04282,
0.05843,
0.06148,
0.06518,
0.06962,
0.07491,
0.08127,
0.08876,
0.09779,
0.1088,
0.12171,
0.13758,
0.15626,
0.17879,
0.20583,
0.23805,
0.27666,
0.3237,
0.37934,
0.4476
],
[
0.043,
0.0586,
0.06171,
0.06545,
0.06986,
0.07515,
0.08149,
0.08907,
0.09807,
0.10907,
0.12192,
0.13778,
0.15631,
0.17895,
0.20573,
0.23828,
0.27692,
0.32383,
0.38026,
0.44706
],
[
0.04363,
0.0592,
0.06229,
0.06597,
0.07044,
0.07567,
0.08205,
0.08962,
0.09867,
0.10964,
0.12256,
0.13826,
0.15708,
0.17956,
0.20636,
0.23866,
0.27781,
0.32439,
0.38097,
0.44817
],
[
0.04493,
0.06054,
0.06364,
0.06733,
0.07174,
0.07698,
0.08331,
0.09084,
0.09994,
0.11075,
0.12396,
0.13955,
0.15817,
0.181,
0.20754,
0.24014,
0.27898,
0.32597,
0.38188,
0.44884
],
[
0.04728,
0.06287,
0.06599,
0.06978,
0.07423,
0.07945,
0.08575,
0.09336,
0.10237,
0.11316,
0.1262,
0.14185,
0.16059,
0.18297,
0.21009,
0.2426,
0.28134,
0.32789,
0.38421,
0.45101
],
[
0.05138,
0.06699,
0.07004,
0.07371,
0.07824,
0.08355,
0.08991,
0.09757,
0.10664,
0.11748,
0.13059,
0.1461,
0.16485,
0.18718,
0.21425,
0.24635,
0.2852,
0.33176,
0.38746,
0.45514
],
[
0.05815,
0.07375,
0.07684,
0.08053,
0.08492,
0.0902,
0.09662,
0.10425,
0.1133,
0.1243,
0.1373,
0.15316,
0.17177,
0.19429,
0.22123,
0.25356,
0.29233,
0.33816,
0.39429,
0.4618
]
]
}
},
"max_capacitance": 0.16688,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": {
"cell_fall delay_template13x20": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00943,
0.01132,
0.01359,
0.01631,
0.01957,
0.02348,
0.02818,
0.03381,
0.04057,
0.04869,
0.05843,
0.07011,
0.08413,
0.10095,
0.12114,
0.14537,
0.17444,
0.20933,
0.25119
],
"values": [
[
0.1757,
0.21356,
0.21929,
0.22593,
0.23363,
0.24263,
0.25318,
0.26566,
0.28045,
0.29804,
0.31908,
0.34424,
0.3744,
0.41055,
0.45389,
0.50598,
0.56851,
0.64345,
0.7334,
0.84132
],
[
0.17798,
0.21584,
0.22157,
0.2282,
0.23591,
0.2449,
0.25546,
0.26794,
0.2827,
0.30028,
0.32131,
0.34649,
0.37665,
0.41281,
0.45618,
0.50827,
0.57064,
0.64561,
0.73536,
0.8436
],
[
0.18069,
0.21855,
0.22428,
0.23091,
0.23862,
0.24761,
0.25816,
0.27063,
0.28542,
0.30303,
0.32407,
0.34923,
0.3793,
0.41562,
0.4588,
0.51097,
0.57356,
0.64851,
0.73819,
0.84622
],
[
0.18482,
0.22268,
0.2284,
0.23503,
0.24274,
0.25173,
0.26228,
0.27476,
0.28954,
0.30712,
0.32815,
0.35331,
0.38349,
0.41964,
0.46305,
0.51511,
0.57752,
0.65253,
0.74237,
0.8503
],
[
0.19079,
0.22865,
0.23438,
0.24102,
0.24872,
0.2577,
0.26825,
0.28073,
0.29549,
0.31312,
0.33415,
0.35931,
0.38942,
0.42572,
0.46886,
0.52109,
0.58355,
0.65861,
0.74838,
0.85637
],
[
0.19871,
0.23657,
0.24231,
0.24894,
0.25665,
0.26564,
0.27619,
0.28867,
0.30343,
0.321,
0.34204,
0.3672,
0.39738,
0.43353,
0.4769,
0.52898,
0.59133,
0.6664,
0.75623,
0.86416
],
[
0.20786,
0.24572,
0.25145,
0.25809,
0.26579,
0.27479,
0.28534,
0.29783,
0.31261,
0.33019,
0.35121,
0.3764,
0.40655,
0.44255,
0.48611,
0.53803,
0.60051,
0.67559,
0.76542,
0.87343
],
[
0.21763,
0.25549,
0.26122,
0.26786,
0.27556,
0.28455,
0.2951,
0.30757,
0.32234,
0.33995,
0.36098,
0.38614,
0.41625,
0.45259,
0.49567,
0.54791,
0.6102,
0.68529,
0.77507,
0.88326
],
[
0.22787,
0.26572,
0.27145,
0.27809,
0.2858,
0.29479,
0.30535,
0.31781,
0.3326,
0.35021,
0.37119,
0.3964,
0.42653,
0.46268,
0.50599,
0.55814,
0.62046,
0.69563,
0.78495,
0.89355
],
[
0.23799,
0.27586,
0.28159,
0.28823,
0.29593,
0.30491,
0.31547,
0.32794,
0.34271,
0.36033,
0.38127,
0.40643,
0.43659,
0.47276,
0.51616,
0.56817,
0.63059,
0.70569,
0.79539,
0.90339
],
[
0.24673,
0.2846,
0.29033,
0.29696,
0.30466,
0.31366,
0.32421,
0.33669,
0.35148,
0.36908,
0.3901,
0.41528,
0.44542,
0.48142,
0.52502,
0.57672,
0.63912,
0.71392,
0.80382,
0.91192
],
[
0.25167,
0.28947,
0.29527,
0.30187,
0.30957,
0.31857,
0.32907,
0.34157,
0.35637,
0.37397,
0.39497,
0.42017,
0.45027,
0.48647,
0.52987,
0.58187,
0.64417,
0.71927,
0.80877,
0.91647
],
[
0.2487,
0.2865,
0.2923,
0.2989,
0.3066,
0.3156,
0.3262,
0.3386,
0.3534,
0.371,
0.392,
0.4172,
0.4473,
0.4835,
0.5268,
0.5789,
0.6415,
0.7164,
0.8063,
0.9141
]
]
},
"cell_rise delay_template13x20": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00943,
0.01132,
0.01359,
0.01631,
0.01957,
0.02348,
0.02818,
0.03381,
0.04057,
0.04869,
0.05843,
0.07011,
0.08413,
0.10095,
0.12114,
0.14537,
0.17444,
0.20933,
0.25119
],
"values": [
[
0.16338,
0.20748,
0.21519,
0.22438,
0.23531,
0.24845,
0.26407,
0.28282,
0.30514,
0.33202,
0.36456,
0.403,
0.44929,
0.50472,
0.57175,
0.6517,
0.74744,
0.86291,
1.00177,
1.16677
],
[
0.16564,
0.20973,
0.21745,
0.22665,
0.23762,
0.25067,
0.26631,
0.28501,
0.30749,
0.33446,
0.36663,
0.40531,
0.45134,
0.50714,
0.57423,
0.65423,
0.74954,
0.86506,
1.00434,
1.16974
],
[
0.16831,
0.2124,
0.22013,
0.22933,
0.24029,
0.25335,
0.26899,
0.28769,
0.31016,
0.33715,
0.36931,
0.408,
0.45409,
0.50973,
0.57694,
0.65715,
0.75206,
0.86736,
1.00722,
1.17172
],
[
0.17233,
0.21643,
0.22412,
0.23331,
0.2443,
0.25732,
0.27298,
0.29169,
0.31417,
0.34103,
0.37343,
0.41213,
0.45804,
0.51375,
0.58093,
0.66026,
0.75643,
0.87143,
1.01118,
1.17597
],
[
0.17813,
0.2222,
0.22995,
0.23913,
0.2501,
0.26314,
0.2788,
0.2975,
0.31997,
0.34693,
0.3791,
0.41778,
0.4638,
0.51963,
0.58669,
0.6659,
0.76226,
0.87763,
1.01671,
1.18251
],
[
0.18586,
0.22994,
0.23768,
0.24686,
0.25784,
0.27088,
0.28654,
0.30523,
0.3277,
0.35466,
0.38683,
0.42551,
0.47163,
0.52737,
0.59441,
0.67364,
0.77,
0.88539,
1.02442,
1.19062
],
[
0.19501,
0.23911,
0.24683,
0.256,
0.26695,
0.28007,
0.29578,
0.31438,
0.33697,
0.36364,
0.39598,
0.43463,
0.48096,
0.53683,
0.60343,
0.68296,
0.77918,
0.89483,
1.03303,
1.19983
],
[
0.20499,
0.24909,
0.25678,
0.26597,
0.27697,
0.28999,
0.30566,
0.32436,
0.34684,
0.37382,
0.40595,
0.44464,
0.49077,
0.54645,
0.61362,
0.6929,
0.78888,
0.90436,
1.04386,
1.20906
],
[
0.21562,
0.2597,
0.2674,
0.27661,
0.28758,
0.30067,
0.31622,
0.33508,
0.35751,
0.38443,
0.41652,
0.45515,
0.50138,
0.55745,
0.62368,
0.70375,
0.80005,
0.91565,
1.05335,
1.21925
],
[
0.22639,
0.2705,
0.27822,
0.28738,
0.29838,
0.31145,
0.32702,
0.34578,
0.36818,
0.39501,
0.42722,
0.46594,
0.51213,
0.56762,
0.63449,
0.71469,
0.81089,
0.92639,
1.06469,
1.22959
],
[
0.23623,
0.28036,
0.28809,
0.29727,
0.30825,
0.32128,
0.33696,
0.35562,
0.37814,
0.40511,
0.43723,
0.47592,
0.52202,
0.57742,
0.64422,
0.72422,
0.82032,
0.93562,
1.07352,
1.24062
],
[
0.24297,
0.28717,
0.29487,
0.30407,
0.31507,
0.32817,
0.34377,
0.36257,
0.38487,
0.41177,
0.44407,
0.48267,
0.52897,
0.58477,
0.65127,
0.73117,
0.82687,
0.94167,
1.08007,
1.24617
],
[
0.2432,
0.2875,
0.2951,
0.3044,
0.3153,
0.3284,
0.344,
0.3629,
0.3852,
0.412,
0.4443,
0.4829,
0.5295,
0.5847,
0.6515,
0.7321,
0.8271,
0.9428,
1.0814,
1.246
]
]
},
"fall_transition delay_template13x20": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00943,
0.01132,
0.01359,
0.01631,
0.01957,
0.02348,
0.02818,
0.03381,
0.04057,
0.04869,
0.05843,
0.07011,
0.08413,
0.10095,
0.12114,
0.14537,
0.17444,
0.20933,
0.25119
],
"values": [
[
0.0137,
0.04619,
0.05211,
0.0592,
0.06778,
0.07815,
0.09068,
0.10595,
0.12439,
0.14679,
0.17391,
0.20667,
0.24614,
0.29391,
0.35109,
0.41996,
0.50247,
0.60208,
0.72067,
0.86341
],
[
0.01371,
0.04618,
0.05212,
0.05919,
0.06778,
0.07816,
0.09069,
0.10594,
0.12433,
0.14677,
0.17391,
0.20667,
0.2461,
0.2938,
0.35103,
0.41996,
0.50247,
0.60224,
0.72023,
0.86422
],
[
0.0137,
0.04618,
0.05212,
0.05923,
0.06782,
0.07813,
0.0907,
0.10592,
0.12439,
0.1468,
0.17391,
0.20662,
0.24618,
0.29382,
0.35093,
0.41971,
0.50267,
0.60175,
0.72063,
0.86379
],
[
0.0137,
0.04619,
0.05212,
0.05921,
0.06777,
0.07815,
0.09069,
0.10595,
0.12435,
0.14679,
0.17392,
0.20666,
0.24616,
0.2937,
0.35101,
0.41997,
0.50196,
0.60174,
0.7211,
0.86434
],
[
0.01371,
0.04617,
0.05212,
0.05923,
0.06782,
0.07812,
0.09064,
0.10591,
0.12438,
0.1468,
0.17392,
0.20665,
0.24617,
0.29383,
0.35097,
0.41997,
0.50251,
0.60147,
0.72134,
0.86379
],
[
0.0137,
0.04618,
0.05211,
0.05924,
0.0678,
0.0781,
0.09065,
0.1059,
0.12436,
0.1468,
0.17392,
0.20667,
0.24617,
0.29391,
0.35097,
0.41997,
0.50284,
0.60178,
0.72093,
0.86407
],
[
0.01371,
0.04616,
0.05211,
0.05921,
0.06779,
0.07815,
0.0907,
0.10595,
0.12435,
0.14667,
0.17386,
0.20661,
0.2459,
0.2937,
0.35087,
0.41978,
0.50205,
0.60169,
0.72083,
0.86321
],
[
0.01371,
0.04618,
0.05212,
0.05921,
0.0678,
0.07809,
0.09066,
0.10593,
0.12437,
0.14679,
0.17392,
0.20666,
0.24615,
0.29365,
0.35097,
0.41977,
0.50235,
0.60209,
0.72028,
0.8633
],
[
0.01372,
0.04619,
0.05211,
0.05924,
0.06782,
0.07818,
0.0907,
0.10594,
0.12441,
0.14675,
0.17392,
0.20667,
0.24615,
0.2939,
0.35097,
0.41995,
0.50209,
0.60205,
0.72047,
0.86385
],
[
0.0137,
0.04618,
0.05212,
0.05922,
0.06781,
0.07811,
0.09066,
0.10578,
0.12419,
0.14661,
0.17367,
0.20645,
0.24604,
0.29367,
0.35081,
0.4199,
0.50199,
0.60158,
0.71983,
0.86382
],
[
0.0137,
0.04617,
0.05207,
0.05923,
0.0678,
0.07816,
0.0907,
0.10595,
0.12439,
0.14669,
0.17388,
0.20664,
0.24581,
0.29306,
0.35038,
0.41878,
0.50138,
0.60093,
0.72,
0.8622
],
[
0.0137,
0.0462,
0.0521,
0.0593,
0.0678,
0.0782,
0.0907,
0.106,
0.1244,
0.1468,
0.1739,
0.2066,
0.2462,
0.2938,
0.3508,
0.4199,
0.5011,
0.6002,
0.719,
0.8617
],
[
0.0137,
0.0462,
0.0521,
0.0593,
0.0678,
0.0781,
0.0907,
0.1059,
0.1244,
0.1468,
0.1739,
0.2067,
0.2462,
0.2938,
0.351,
0.4195,
0.5023,
0.6017,
0.7211,
0.861
]
]
},
"related_pin": "CLK",
"rise_transition delay_template13x20": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00943,
0.01132,
0.01359,
0.01631,
0.01957,
0.02348,
0.02818,
0.03381,
0.04057,
0.04869,
0.05843,
0.07011,
0.08413,
0.10095,
0.12114,
0.14537,
0.17444,
0.20933,
0.25119
],
"values": [
[
0.01627,
0.06767,
0.0785,
0.09168,
0.10765,
0.12655,
0.14991,
0.17754,
0.2106,
0.25073,
0.29863,
0.35666,
0.42658,
0.50964,
0.61006,
0.72845,
0.8727,
1.04475,
1.25292,
1.50012
],
[
0.01627,
0.06757,
0.07856,
0.09174,
0.10747,
0.12674,
0.14966,
0.17738,
0.21096,
0.2506,
0.29892,
0.35645,
0.42598,
0.50955,
0.61081,
0.72885,
0.8725,
1.04458,
1.25173,
1.49929
],
[
0.01626,
0.06767,
0.07855,
0.09175,
0.10755,
0.12674,
0.14969,
0.17736,
0.21094,
0.2506,
0.29897,
0.35641,
0.42685,
0.50974,
0.6107,
0.72883,
0.87268,
1.04455,
1.25179,
1.49888
],
[
0.01626,
0.06764,
0.07852,
0.0917,
0.10762,
0.12655,
0.14999,
0.17737,
0.21055,
0.25067,
0.29897,
0.35641,
0.42665,
0.50938,
0.61004,
0.72846,
0.87182,
1.04451,
1.25174,
1.49897
],
[
0.01625,
0.06765,
0.07856,
0.09171,
0.10749,
0.12684,
0.14964,
0.17737,
0.21096,
0.25058,
0.29894,
0.35639,
0.42563,
0.5094,
0.60847,
0.72857,
0.87266,
1.04435,
1.25155,
1.49984
],
[
0.01629,
0.06766,
0.07855,
0.09171,
0.10749,
0.12686,
0.14962,
0.17736,
0.21093,
0.25059,
0.29893,
0.3564,
0.42599,
0.50954,
0.60849,
0.72909,
0.87407,
1.04415,
1.25523,
1.5001
],
[
0.01628,
0.06767,
0.07849,
0.09164,
0.10767,
0.12653,
0.14974,
0.17764,
0.21063,
0.25065,
0.29889,
0.35648,
0.42573,
0.50956,
0.60929,
0.72972,
0.8748,
1.04459,
1.25331,
1.50006
],
[
0.0163,
0.0676,
0.07856,
0.09175,
0.10761,
0.12675,
0.14966,
0.17737,
0.21096,
0.25059,
0.2989,
0.3564,
0.42599,
0.50978,
0.61079,
0.7285,
0.87253,
1.0446,
1.25176,
1.49919
],
[
0.01629,
0.06762,
0.0784,
0.09161,
0.1075,
0.12675,
0.14994,
0.17751,
0.21067,
0.25106,
0.29877,
0.35682,
0.42718,
0.50938,
0.60866,
0.72878,
0.87322,
1.04508,
1.25217,
1.50016
],
[
0.01632,
0.06768,
0.07852,
0.09182,
0.10759,
0.12657,
0.1497,
0.17731,
0.21073,
0.25053,
0.29866,
0.35674,
0.42715,
0.50936,
0.6084,
0.72885,
0.8748,
1.0486,
1.25222,
1.50233
],
[
0.01637,
0.06769,
0.07859,
0.09174,
0.10751,
0.12697,
0.14955,
0.17742,
0.21077,
0.25074,
0.2984,
0.35657,
0.42544,
0.50858,
0.60902,
0.72811,
0.872,
1.0477,
1.2524,
1.5055
],
[
0.0165,
0.0678,
0.0785,
0.0916,
0.1076,
0.1269,
0.15,
0.1778,
0.2106,
0.251,
0.2987,
0.3572,
0.4254,
0.5088,
0.6089,
0.7284,
0.8722,
1.0458,
1.2543,
1.5012
],
[
0.0167,
0.0678,
0.0786,
0.0918,
0.1076,
0.1266,
0.1497,
0.1773,
0.2106,
0.2506,
0.2991,
0.3564,
0.4258,
0.5102,
0.6088,
0.7298,
0.8719,
1.0438,
1.2507,
1.5018
]
]
},
"timing_sense": "non_unate",
"timing_type": "rising_edge"
}
},
"pin SCD": {
"capacitance": 0.0021,
"ccsn_first_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.8,
-0.9,
-0.36,
-0.18,
0,
0.09,
0.18,
0.27,
0.36,
0.45,
0.54,
0.63,
0.72,
0.81,
0.9,
0.99,
1.08,
1.17,
1.26,
1.35,
1.44,
1.53,
1.62,
1.71,
1.8,
1.98,
2.16,
2.7,
3.6
],
"index_2": [
-1.8,
-0.9,
-0.36,
-0.18,
0,
0.09,
0.18,
0.27,
0.36,
0.45,
0.54,
0.63,
0.72,
0.81,
0.9,
0.99,
1.08,
1.17,
1.26,
1.35,
1.44,
1.53,
1.62,
1.71,
1.8,
1.98,
2.16,
2.7,
3.6
],
"values": [
[
5.4207,
0.314772,
0.0620815,
0.0605723,
0.0590296,
0.0582399,
0.0574355,
0.056614,
0.0557714,
0.0549023,
0.0539977,
0.0530422,
0.0520064,
0.0508262,
0.0493625,
0.0474085,
0.0448133,
0.0415211,
0.037522,
0.0328263,
0.0274571,
0.0214472,
0.0148371,
0.00767209,
-7.46838e-08,
-0.0163315,
-0.0334908,
-0.138712,
-2.01032
],
[
5.42347,
0.317892,
0.065717,
0.0641215,
0.0624876,
0.0616503,
0.0607968,
0.0599243,
0.0590289,
0.0581045,
0.0571417,
0.0561241,
0.0550209,
0.0537666,
0.0522203,
0.050171,
0.0474591,
0.0440206,
0.0398385,
0.0349157,
0.029267,
0.0229167,
0.0158966,
0.00824409,
-5.98366e-08,
-0.0176826,
-0.0364061,
-0.146001,
-2.01109
],
[
5.42141,
0.315938,
0.0634915,
0.0620234,
0.0605161,
0.0597418,
0.0589513,
0.0581421,
0.0573101,
0.0564498,
0.0555522,
0.0546019,
0.0535693,
0.0523911,
0.050931,
0.0489839,
0.0463942,
0.0430965,
0.0390688,
0.0343068,
0.0288177,
0.0226171,
0.0157277,
0.00817804,
-4.04582e-08,
-0.0176989,
-0.036655,
-0.147785,
-2.01131
],
[
5.41927,
0.314561,
0.0609121,
0.0595607,
0.0581706,
0.0574553,
0.0567242,
0.0559748,
0.0552036,
0.0544051,
0.0535709,
0.0526864,
0.0517236,
0.0506214,
0.0492474,
0.0474023,
0.0449357,
0.0417827,
0.0379189,
0.0333363,
0.0280375,
0.0220337,
0.0153432,
0.00798945,
-3.15753e-08,
-0.0173846,
-0.0361367,
-0.147291,
-2.01127
],
[
5.41615,
0.315957,
0.0567769,
0.0555971,
0.0543867,
0.0537621,
0.0531223,
0.0524652,
0.0517876,
0.0510846,
0.0503486,
0.0495663,
0.048712,
0.0477287,
0.04649,
0.0448067,
0.0425365,
0.0396154,
0.0360149,
0.0317215,
0.0267316,
0.0210501,
0.0146886,
0.00766473,
-2.357e-08,
-0.0168161,
-0.0351474,
-0.145956,
-2.01115
],
[
5.41415,
0.319168,
0.0539686,
0.0528674,
0.0517773,
0.0512134,
0.050635,
0.0500399,
0.0494251,
0.0487863,
0.0481164,
0.047403,
0.046622,
0.0457193,
0.0445733,
0.0430016,
0.0408677,
0.0381078,
0.0346902,
0.0305976,
0.0258219,
0.0203638,
0.014231,
0.00743707,
-2.51277e-08,
-0.0164127,
-0.0344348,
-0.144906,
-2.01105
],
[
5.41174,
0.323895,
0.0507134,
0.0495068,
0.0485608,
0.0480706,
0.0475664,
0.0470464,
0.0465079,
0.045947,
0.0453572,
0.0447275,
0.0440357,
0.0432314,
0.0421994,
0.0407664,
0.0388027,
0.0362438,
0.0330542,
0.029211,
0.0247007,
0.0195185,
0.0136674,
0.00715655,
-6.89942e-08,
-0.0159155,
-0.0335526,
-0.143565,
-2.01092
],
[
5.40881,
0.328472,
0.0477285,
0.0453939,
0.0446091,
0.0442076,
0.043793,
0.0433636,
0.0429172,
0.0424503,
0.0419574,
0.0414288,
0.0408451,
0.0401608,
0.039269,
0.0380091,
0.0362586,
0.0339518,
0.0310467,
0.0275131,
0.0233304,
0.0184872,
0.0129806,
0.00681482,
-4.71884e-07,
-0.0153128,
-0.032482,
-0.141904,
-2.01075
],
[
5.40526,
0.33177,
0.0466835,
0.0404493,
0.0397642,
0.0394673,
0.0391591,
0.0388376,
0.038501,
0.0381464,
0.0377694,
0.0373622,
0.0369086,
0.0363697,
0.035651,
0.0346082,
0.0331275,
0.031139,
0.0285911,
0.0254431,
0.0216647,
0.0172365,
0.0121488,
0.00640022,
-3.871e-06,
-0.0145951,
-0.0312064,
-0.139901,
-2.01056
],
[
5.40129,
0.333887,
0.0478318,
0.0350804,
0.0339175,
0.0337229,
0.0335253,
0.0333183,
0.0331002,
0.0328688,
0.0326206,
0.0323499,
0.0320447,
0.031675,
0.0311645,
0.0303922,
0.0292538,
0.0276704,
0.0255751,
0.0229103,
0.0196321,
0.015711,
0.0111301,
0.00588258,
-3.05672e-05,
-0.0137704,
-0.0297278,
-0.13755,
-2.01033
],
[
5.39731,
0.335585,
0.0500837,
0.0312354,
0.0274174,
0.0272388,
0.027102,
0.0269634,
0.0268201,
0.0266703,
0.0265119,
0.0263415,
0.0261517,
0.0259233,
0.0256041,
0.0251105,
0.0243627,
0.0232773,
0.0217537,
0.0196969,
0.0170393,
0.0137376,
0.00976726,
0.00511691,
-0.000215193,
-0.0129618,
-0.0281647,
-0.134955,
-2.01017
],
[
5.39363,
0.337527,
0.0528172,
0.030141,
0.0210263,
0.0205016,
0.0203106,
0.0201447,
0.0199815,
0.019816,
0.0196455,
0.0194675,
0.0192771,
0.0190613,
0.018784,
0.018399,
0.0178901,
0.0172303,
0.0163151,
0.0149856,
0.0130902,
0.0105418,
0.00730252,
0.00335829,
-0.00129265,
-0.0128944,
-0.0272395,
-0.132774,
-2.01054
],
[
5.39041,
0.340056,
0.0557381,
0.0302725,
0.0152123,
0.0129232,
0.0121063,
0.0116496,
0.0112678,
0.010912,
0.0105666,
0.0102248,
0.00988201,
0.00953065,
0.00914872,
0.00872021,
0.00826173,
0.00776013,
0.0071687,
0.00642355,
0.00534704,
0.00368763,
0.00131376,
-0.00180396,
-0.00566417,
-0.0159565,
-0.0293353,
-0.133167,
-2.01297
],
[
5.38776,
0.343341,
0.0587256,
0.0305967,
0.0102567,
0.00463095,
0.0013649,
-0.000232308,
-0.00121933,
-0.00199631,
-0.00267412,
-0.00329191,
-0.00386454,
-0.00439808,
-0.00490643,
-0.00539925,
-0.00586222,
-0.0063156,
-0.00679611,
-0.00731696,
-0.00791039,
-0.00873637,
-0.010138,
-0.0123086,
-0.0152653,
-0.0240662,
-0.0363592,
-0.137835,
-2.01863
],
[
5.38576,
0.347456,
0.0617775,
0.0310675,
0.00631554,
-0.00256872,
-0.00911653,
-0.0132999,
-0.0156545,
-0.0171718,
-0.018325,
-0.0192784,
-0.0200943,
-0.0207979,
-0.0214131,
-0.0219574,
-0.0224235,
-0.0228461,
-0.0232598,
-0.0236731,
-0.0240898,
-0.0245296,
-0.0251313,
-0.0263038,
-0.0282767,
-0.0354096,
-0.0464962,
-0.145114,
-2.02638
],
[
5.38444,
0.352406,
0.0649658,
0.0318602,
0.00342499,
-0.00805874,
-0.0173791,
-0.0244542,
-0.0291402,
-0.0319746,
-0.033869,
-0.0352857,
-0.0364082,
-0.0373126,
-0.0380455,
-0.0386313,
-0.039089,
-0.0394753,
-0.0398244,
-0.0401482,
-0.0404519,
-0.04074,
-0.0410275,
-0.0414581,
-0.0424904,
-0.047822,
-0.0575871,
-0.153076,
-2.03491
],
[
5.38379,
0.358124,
0.0683586,
0.0330932,
0.00155306,
-0.0119783,
-0.0234948,
-0.0329553,
-0.0401822,
-0.0450275,
-0.0481132,
-0.0502296,
-0.051787,
-0.0529616,
-0.0538356,
-0.0544585,
-0.0549056,
-0.0552538,
-0.0555435,
-0.0557937,
-0.0560145,
-0.0562123,
-0.0563922,
-0.0565719,
-0.0569728,
-0.0604832,
-0.0688086,
-0.161055,
-2.04373
],
[
5.38375,
0.36443,
0.071926,
0.0347417,
0.000564869,
-0.0146191,
-0.0278996,
-0.0392583,
-0.048607,
-0.0556984,
-0.0605144,
-0.063699,
-0.0658973,
-0.0674423,
-0.0684888,
-0.0691686,
-0.0696307,
-0.0699739,
-0.0702472,
-0.0704755,
-0.0706725,
-0.0708465,
-0.0710031,
-0.0711477,
-0.0713368,
-0.0732636,
-0.0800055,
-0.168951,
-2.05272
],
[
5.38414,
0.370974,
0.0754747,
0.0365975,
0.000172008,
-0.0163726,
-0.0310956,
-0.0439861,
-0.0550053,
-0.0640143,
-0.0707912,
-0.0754622,
-0.078586,
-0.0806356,
-0.0819177,
-0.0827233,
-0.0832739,
-0.0836858,
-0.0840153,
-0.0842916,
-0.0845313,
-0.0847444,
-0.0849373,
-0.0851148,
-0.0852923,
-0.0862332,
-0.0912104,
-0.176734,
-2.06177
],
[
5.38473,
0.377323,
0.0787552,
0.0383942,
5.04643e-05,
-0.0176265,
-0.0335416,
-0.0476836,
-0.0600314,
-0.070519,
-0.0789898,
-0.0853006,
-0.0896046,
-0.0923132,
-0.0939484,
-0.0949914,
-0.0957246,
-0.0962845,
-0.0967383,
-0.0971217,
-0.0974559,
-0.0977536,
-0.0980236,
-0.0982718,
-0.0985055,
-0.0991107,
-0.102343,
-0.184308,
-2.07073
],
[
5.38535,
0.383154,
0.0816425,
0.0399914,
1.56465e-05,
-0.0186073,
-0.0355125,
-0.0506841,
-0.0641037,
-0.0757322,
-0.0854744,
-0.0931531,
-0.0986132,
-0.102059,
-0.104168,
-0.105561,
-0.10657,
-0.107355,
-0.108,
-0.108549,
-0.109029,
-0.109458,
-0.109847,
-0.110204,
-0.110537,
-0.111189,
-0.113116,
-0.191493,
-2.07939
],
[
5.38595,
0.388296,
0.0841301,
0.041365,
5.12847e-06,
-0.0194092,
-0.0371386,
-0.053161,
-0.0674539,
-0.0799818,
-0.0906648,
-0.0993068,
-0.1056,
-0.109656,
-0.112239,
-0.114019,
-0.115353,
-0.116415,
-0.117302,
-0.118064,
-0.118737,
-0.119341,
-0.119891,
-0.120398,
-0.120869,
-0.12174,
-0.123036,
-0.197991,
-2.08744
],
[
5.38649,
0.392687,
0.0862529,
0.0425317,
1.7588e-06,
-0.0200745,
-0.038491,
-0.0552188,
-0.070228,
-0.0834772,
-0.0948749,
-0.104175,
-0.110969,
-0.115405,
-0.118329,
-0.12042,
-0.122033,
-0.123349,
-0.124466,
-0.125441,
-0.126311,
-0.1271,
-0.127824,
-0.128496,
-0.129124,
-0.130279,
-0.131494,
-0.203433,
-2.0945
],
[
5.38697,
0.396353,
0.0880531,
0.0435163,
6.51785e-07,
-0.0206288,
-0.0396184,
-0.0569319,
-0.0725317,
-0.0863659,
-0.0983204,
-0.108081,
-0.115169,
-0.11982,
-0.122953,
-0.125248,
-0.127053,
-0.12855,
-0.129837,
-0.130975,
-0.131999,
-0.132936,
-0.133804,
-0.134615,
-0.135378,
-0.136791,
-0.138147,
-0.207602,
-2.10028
],
[
5.38738,
0.399378,
0.0895708,
0.0443427,
2.93841e-07,
-0.0210905,
-0.0405576,
-0.0583581,
-0.0744463,
-0.0887587,
-0.101155,
-0.11125,
-0.118516,
-0.123293,
-0.126558,
-0.128984,
-0.130916,
-0.132534,
-0.133936,
-0.135184,
-0.136315,
-0.137355,
-0.138323,
-0.139232,
-0.140092,
-0.141693,
-0.143205,
-0.210651,
-2.10476
],
[
5.38798,
0.403888,
0.0918991,
0.0456042,
1.65399e-07,
-0.0217914,
-0.0419854,
-0.0605266,
-0.0773546,
-0.0923836,
-0.10542,
-0.115953,
-0.123413,
-0.128326,
-0.131743,
-0.134327,
-0.136412,
-0.138176,
-0.139718,
-0.141099,
-0.142358,
-0.143522,
-0.144611,
-0.145637,
-0.146612,
-0.148438,
-0.150159,
-0.214746,
-2.11087
],
[
5.38836,
0.406862,
0.0934774,
0.0464555,
1.6185e-07,
-0.0222646,
-0.0429539,
-0.0620023,
-0.0793373,
-0.0948538,
-0.108313,
-0.119107,
-0.126663,
-0.131649,
-0.135153,
-0.137827,
-0.140002,
-0.141851,
-0.143475,
-0.144933,
-0.146267,
-0.147503,
-0.14866,
-0.149754,
-0.150794,
-0.152746,
-0.154587,
-0.217552,
-2.11472
],
[
5.38875,
0.410167,
0.0953196,
0.0474537,
1.69466e-07,
-0.022843,
-0.0441753,
-0.0639105,
-0.0819515,
-0.0981573,
-0.112209,
-0.123347,
-0.131024,
-0.13611,
-0.139733,
-0.142531,
-0.144826,
-0.146791,
-0.148523,
-0.150086,
-0.151519,
-0.15285,
-0.154099,
-0.155281,
-0.156408,
-0.158526,
-0.160527,
-0.22238,
-2.11996
],
[
5.38835,
0.406864,
0.0938494,
0.0467173,
2.09591e-07,
-0.0225387,
-0.0436856,
-0.0633372,
-0.0813782,
-0.097649,
-0.111812,
-0.123077,
-0.130853,
-0.136005,
-0.139674,
-0.142509,
-0.144835,
-0.146827,
-0.148585,
-0.150171,
-0.151626,
-0.152978,
-0.154248,
-0.15545,
-0.156596,
-0.158752,
-0.16079,
-0.222705,
-2.12034
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000317,
"miller_cap_rise": 0.000174,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.02768365,
0.0547879,
0.08107069,
0.1187572,
0.1881644
],
"values": [
1.62,
1.26,
0.9,
0.54,
0.18
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.0852275,
0.1131867,
0.1391104,
0.1767708,
0.2461978
],
"values": [
1.62,
1.26,
0.9,
0.54,
0.18
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.06588763,
0.1308877,
0.2005613,
0.2955241,
0.4965837
],
"values": [
0.18,
0.54,
0.9,
1.26,
1.62
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.1285814,
0.1935098,
0.263218,
0.3579632,
0.5589894
],
"values": [
0.18,
0.54,
0.9,
1.26,
1.62
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.89736328125
],
"index_2": [
2
],
"index_3": [
0.001
],
"index_4": [
0.9787552,
1.036005,
1.14761,
1.276667,
1.411125
],
"values": [
1.6197881,
1.51166096,
1.4395762,
1.51166096,
1.6197881
]
},
{
"index_1": [
1.03095703125
],
"index_2": [
1.28393542951665
],
"index_3": [
0.001
],
"index_4": [
0.6333024,
0.6887574,
0.7775772,
0.9080514,
1.031756
],
"values": [
1.45747555,
1.25196088,
1.1149511,
1.25196088,
1.45747555
]
},
{
"index_1": [
1.16103515625
],
"index_2": [
0.751162269131247
],
"index_3": [
0.001
],
"index_4": [
0.3736325,
0.4155739,
0.4887733,
0.5797713,
0.681736
],
"values": [
1.4144434,
1.18310944,
1.0288868,
1.18310944,
1.4144434
]
},
{
"index_1": [
0.89736328125
],
"index_2": [
1.45173712680763
],
"index_3": [
0.001
],
"index_4": [
0.7131653,
0.7559082,
0.8320628,
0.94844,
1.070332
],
"values": [
1.6671251,
1.58740016,
1.5342502,
1.58740016,
1.6671251
]
},
{
"index_1": [
1.03095703125
],
"index_2": [
0.641967714758327
],
"index_3": [
0.001
],
"index_4": [
0.3205425,
0.3478844,
0.3966655,
0.4763456,
0.5763971
],
"values": [
1.6334758,
1.53356128,
1.4669516,
1.53356128,
1.6334758
]
},
{
"index_1": [
1.16103515625
],
"index_2": [
0.375581134565624
],
"index_3": [
0.001
],
"index_4": [
0.190202,
0.2105536,
0.248496,
0.3077934,
0.391741
],
"values": [
1.61757415,
1.50811864,
1.4351483,
1.50811864,
1.61757415
]
},
{
"index_1": [
1.03095703125
],
"index_2": [
1.92590314427498
],
"index_3": [
0.001
],
"index_4": [
0.9425154,
1.017159,
1.175992,
1.361832,
1.504513
],
"values": [
1.30252275,
1.0040364,
0.8050455,
1.0040364,
1.30252275
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.00107421875
],
"index_2": [
2
],
"index_3": [
0.001
],
"index_4": [
0.9683232,
1.015508,
1.083568,
1.160673,
1.239388
],
"values": [
0.045140395,
0.072224632,
0.09028079,
0.072224632,
0.045140395
]
},
{
"index_1": [
1.13994140625
],
"index_2": [
2
],
"index_3": [
0.001
],
"index_4": [
0.9832406,
1.042173,
1.128863,
1.216038,
1.282768
],
"values": [
0.1657401,
0.26518416,
0.3314802,
0.26518416,
0.1657401
]
},
{
"index_1": [
1.25771484375
],
"index_2": [
2
],
"index_3": [
0.001
],
"index_4": [
0.9889133,
1.07136,
1.187018,
1.307566,
1.379517
],
"values": [
0.3536504,
0.56584064,
0.7073008,
0.56584064,
0.3536504
]
},
{
"index_1": [
1.00107421875
],
"index_2": [
2
],
"index_3": [
0.001
],
"index_4": [
0.9683232,
1.015508,
1.083568,
1.160673,
1.239388
],
"values": [
0.045140395,
0.072224632,
0.09028079,
0.072224632,
0.045140395
]
},
{
"index_1": [
1.13994140625
],
"index_2": [
1.6039234288309
],
"index_3": [
0.001
],
"index_4": [
0.7909742,
0.8388891,
0.9020705,
0.9849099,
1.045788
],
"values": [
0.134273,
0.2148368,
0.268546,
0.2148368,
0.134273
]
},
{
"index_1": [
1.25771484375
],
"index_2": [
1.02354999736321
],
"index_3": [
0.001
],
"index_4": [
0.5161349,
0.5583444,
0.6189705,
0.6819627,
0.7298573
],
"values": [
0.1799746,
0.28795936,
0.3599492,
0.28795936,
0.1799746
]
},
{
"index_1": [
1.13994140625
],
"index_2": [
2
],
"index_3": [
0.001
],
"index_4": [
0.9832406,
1.042173,
1.128863,
1.216038,
1.282768
],
"values": [
0.1657401,
0.26518416,
0.3314802,
0.26518416,
0.1657401
]
}
]
},
"stage_type": "both"
},
"clock": "false",
"direction": "input",
"internal_power": {
"fall_power hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.03077,
0.02982,
0.03096,
0.03091,
0.0308,
0.03078,
0.03067,
0.03067,
0.03071,
0.03359,
0.03431,
0.03833,
0.04061
]
},
"rise_power hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.01349,
0.01878,
0.01538,
0.01535,
0.01533,
0.01529,
0.01525,
0.01526,
0.01572,
0.01679,
0.01727,
0.01958,
0.02136
]
}
},
"max_transition": 1.0,
"nextstate_type": "scan_in",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"fall_constraint sethold_template_fall10x10": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.2373,
0.22852,
0.21973,
0.21094,
0.20215,
0.20215,
0.20508,
0.20801,
0.21387,
0.22266
],
[
0.24609,
0.2373,
0.22852,
0.21973,
0.21094,
0.20801,
0.21094,
0.2168,
0.22266,
0.23145
],
[
0.25488,
0.24609,
0.2373,
0.22852,
0.21973,
0.21973,
0.21973,
0.22559,
0.23145,
0.24023
],
[
0.27539,
0.2666,
0.25781,
0.24902,
0.24023,
0.2373,
0.24023,
0.24609,
0.25195,
0.26074
],
[
0.31641,
0.31055,
0.29883,
0.28711,
0.28125,
0.27832,
0.27832,
0.28418,
0.29297,
0.29883
],
[
0.39258,
0.38672,
0.375,
0.36914,
0.35742,
0.35742,
0.35742,
0.36328,
0.36914,
0.38086
],
[
0.45703,
0.45117,
0.44531,
0.43359,
0.42773,
0.42188,
0.42773,
0.43359,
0.43945,
0.44531
],
[
0.52148,
0.51562,
0.50391,
0.49805,
0.48633,
0.48047,
0.48633,
0.49219,
0.50391,
0.50977
],
[
0.57422,
0.56836,
0.5625,
0.55078,
0.54492,
0.53906,
0.54492,
0.55078,
0.55664,
0.56836
],
[
0.63281,
0.62109,
0.62109,
0.60938,
0.59766,
0.59766,
0.59766,
0.60938,
0.60938,
0.62109
]
]
},
"related_pin": "CLK",
"rise_constraint sethold_template_rise10x10": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.15527,
0.14648,
0.13477,
0.12598,
0.11719,
0.12012,
0.12305,
0.13477,
0.14648,
0.1582
],
[
0.1582,
0.14941,
0.14355,
0.13184,
0.12305,
0.11719,
0.12891,
0.14062,
0.15234,
0.16406
],
[
0.16699,
0.15527,
0.15527,
0.13477,
0.12891,
0.13184,
0.1377,
0.14648,
0.1582,
0.17285
],
[
0.18457,
0.17578,
0.16113,
0.15234,
0.14355,
0.14648,
0.15234,
0.16113,
0.17285,
0.1875
],
[
0.20801,
0.19629,
0.19336,
0.17871,
0.17871,
0.16992,
0.17871,
0.1875,
0.19922,
0.21387
],
[
0.24023,
0.2373,
0.22559,
0.21387,
0.20508,
0.20215,
0.21094,
0.22266,
0.23438,
0.24609
],
[
0.26367,
0.25781,
0.25488,
0.24023,
0.22559,
0.22559,
0.23145,
0.24023,
0.25195,
0.2666
],
[
0.27539,
0.26953,
0.26074,
0.25195,
0.2373,
0.24023,
0.24023,
0.25195,
0.26367,
0.27832
],
[
0.28125,
0.27246,
0.26367,
0.25781,
0.24316,
0.24316,
0.25195,
0.26074,
0.27246,
0.28418
],
[
0.28418,
0.27832,
0.27246,
0.25781,
0.25488,
0.24609,
0.25488,
0.26367,
0.27539,
0.29004
]
]
},
"timing_type": "setup_rising"
},
{
"fall_constraint sethold_template_fall10x10": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.18458,
-0.17578,
-0.17285,
-0.16406,
-0.16113,
-0.15527,
-0.15234,
-0.15527,
-0.16113,
-0.16992
],
[
-0.18751,
-0.18458,
-0.17578,
-0.17285,
-0.16406,
-0.16113,
-0.16406,
-0.16406,
-0.16992,
-0.17285
],
[
-0.20216,
-0.19337,
-0.19044,
-0.18164,
-0.17871,
-0.17285,
-0.17285,
-0.17285,
-0.17871,
-0.18751
],
[
-0.21679,
-0.21386,
-0.20509,
-0.20216,
-0.19337,
-0.19044,
-0.19337,
-0.19337,
-0.19923,
-0.20216
],
[
-0.25781,
-0.24609,
-0.24609,
-0.24023,
-0.23437,
-0.23144,
-0.23144,
-0.23144,
-0.24023,
-0.24609
],
[
-0.32226,
-0.3164,
-0.3164,
-0.31054,
-0.31054,
-0.29882,
-0.31054,
-0.30468,
-0.31054,
-0.32226
],
[
-0.39843,
-0.38087,
-0.37501,
-0.37501,
-0.36915,
-0.375,
-0.36915,
-0.37501,
-0.38087,
-0.39845
],
[
-0.45118,
-0.4336,
-0.43359,
-0.42773,
-0.42773,
-0.43359,
-0.43945,
-0.44531,
-0.44531,
-0.45117
],
[
-0.5039,
-0.49804,
-0.48046,
-0.48046,
-0.48632,
-0.48048,
-0.48632,
-0.49218,
-0.50976,
-0.50976
],
[
-0.53907,
-0.53907,
-0.52735,
-0.52734,
-0.52734,
-0.52734,
-0.53906,
-0.53906,
-0.5625,
-0.55079
]
]
},
"related_pin": "CLK",
"rise_constraint sethold_template_rise10x10": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.03809,
-0.03516,
-0.03515,
-0.03222,
-0.03515,
-0.03222,
-0.04101,
-0.04687,
-0.0586,
-0.06446
],
[
-0.04688,
-0.04395,
-0.03809,
-0.03808,
-0.04101,
-0.04687,
-0.04687,
-0.05274,
-0.0586,
-0.07032
],
[
-0.05567,
-0.05567,
-0.03809,
-0.05273,
-0.04687,
-0.0498,
-0.05566,
-0.06446,
-0.07032,
-0.07911
],
[
-0.06739,
-0.06446,
-0.06153,
-0.06446,
-0.06153,
-0.06446,
-0.07032,
-0.07911,
-0.08497,
-0.09374
],
[
-0.09081,
-0.09081,
-0.08204,
-0.08497,
-0.07911,
-0.09376,
-0.09669,
-0.10546,
-0.11132,
-0.12011
],
[
-0.12305,
-0.11426,
-0.11425,
-0.11425,
-0.11132,
-0.12011,
-0.12304,
-0.13476,
-0.14062,
-0.15235
],
[
-0.13477,
-0.12891,
-0.12012,
-0.11719,
-0.12597,
-0.13183,
-0.14355,
-0.15235,
-0.15821,
-0.167
],
[
-0.14063,
-0.13477,
-0.13184,
-0.12891,
-0.1377,
-0.14063,
-0.15235,
-0.15821,
-0.16993,
-0.17872
],
[
-0.14649,
-0.14356,
-0.14063,
-0.12891,
-0.1377,
-0.14356,
-0.15235,
-0.16114,
-0.17286,
-0.18458
],
[
-0.14356,
-0.1377,
-0.13184,
-0.13477,
-0.13184,
-0.14649,
-0.15528,
-0.16407,
-0.17579,
-0.18456
]
]
},
"timing_type": "hold_rising"
}
]
},
"pin SCE": {
"capacitance": 0.00422,
"ccsn_first_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.8,
-0.9,
-0.36,
-0.18,
0,
0.09,
0.18,
0.27,
0.36,
0.45,
0.54,
0.63,
0.72,
0.81,
0.9,
0.99,
1.08,
1.17,
1.26,
1.35,
1.44,
1.53,
1.62,
1.71,
1.8,
1.98,
2.16,
2.7,
3.6
],
"index_2": [
-1.8,
-0.9,
-0.36,
-0.18,
0,
0.09,
0.18,
0.27,
0.36,
0.45,
0.54,
0.63,
0.72,
0.81,
0.9,
0.99,
1.08,
1.17,
1.26,
1.35,
1.44,
1.53,
1.62,
1.71,
1.8,
1.98,
2.16,
2.7,
3.6
],
"values": [
[
2.05127,
0.367758,
0.298475,
0.282042,
0.263888,
0.254178,
0.244055,
0.233527,
0.222599,
0.211282,
0.199579,
0.187499,
0.17505,
0.162239,
0.149076,
0.135568,
0.12173,
0.107571,
0.0930972,
0.0783136,
0.0632269,
0.0478445,
0.032174,
0.0162233,
-2.53114e-09,
-0.031971,
-0.0630133,
-0.182479,
-1.51136
],
[
2.01396,
0.322789,
0.261393,
0.252335,
0.242538,
0.237163,
0.231298,
0.224795,
0.217551,
0.209523,
0.200705,
0.191102,
0.18072,
0.169569,
0.157659,
0.145004,
0.131621,
0.117528,
0.102735,
0.0872516,
0.0710922,
0.0542714,
0.0368048,
0.0187088,
-4.53673e-09,
-0.0373896,
-0.0739848,
-0.208673,
-1.51674
],
[
1.96404,
0.260175,
0.195293,
0.189246,
0.183003,
0.179778,
0.176457,
0.173009,
0.169383,
0.165498,
0.161222,
0.156352,
0.150654,
0.143963,
0.136209,
0.127375,
0.117462,
0.106474,
0.0944147,
0.0812868,
0.0670986,
0.0518614,
0.0355887,
0.0182958,
-8.41691e-09,
-0.0377397,
-0.0758498,
-0.21444,
-1.51793
],
[
1.94508,
0.236699,
0.166965,
0.161843,
0.156586,
0.15389,
0.151135,
0.148306,
0.14538,
0.142319,
0.139067,
0.135522,
0.131514,
0.12679,
0.121098,
0.114295,
0.10633,
0.0971866,
0.0868569,
0.0753358,
0.0626237,
0.0487253,
0.033648,
0.0174021,
-8.15295e-09,
-0.0366022,
-0.0743327,
-0.212215,
-1.51775
],
[
1.9277,
0.220891,
0.137041,
0.132775,
0.128418,
0.126196,
0.123939,
0.121637,
0.11928,
0.116852,
0.114328,
0.111669,
0.108804,
0.105609,
0.10186,
0.0972538,
0.0915439,
0.0846163,
0.0764244,
0.0669455,
0.0561678,
0.0440851,
0.030695,
0.0159986,
-6.41961e-09,
-0.0345651,
-0.07123,
-0.206826,
-1.51713
],
[
1.91959,
0.2181,
0.121963,
0.118068,
0.114126,
0.11212,
0.110086,
0.108019,
0.10591,
0.10375,
0.101522,
0.099201,
0.0967451,
0.0940792,
0.0910613,
0.0874363,
0.0828776,
0.077151,
0.0701526,
0.0618386,
0.0521869,
0.0411841,
0.0288214,
0.0150939,
-9.92423e-09,
-0.0331715,
-0.0690014,
-0.202739,
-1.51662
],
[
1.91175,
0.218112,
0.107212,
0.103524,
0.0999682,
0.0981638,
0.0963381,
0.0944873,
0.0926063,
0.090688,
0.088722,
0.0866925,
0.0845742,
0.0823237,
0.0798598,
0.0770207,
0.0735137,
0.0689935,
0.0632418,
0.0561678,
0.047732,
0.0379113,
0.0266897,
0.0140553,
-5.92617e-08,
-0.0315185,
-0.0662921,
-0.197632,
-1.51596
],
[
1.90418,
0.2198,
0.0936998,
0.0893671,
0.0861617,
0.0845443,
0.0829112,
0.0812599,
0.079587,
0.0778879,
0.0761559,
0.0743811,
0.0725485,
0.070633,
0.06859,
0.0663318,
0.0636722,
0.0602735,
0.0557794,
0.0499964,
0.0428478,
0.0342961,
0.0243164,
0.0128893,
-5.38285e-07,
-0.0296085,
-0.0630948,
-0.191458,
-1.51514
],
[
1.89697,
0.222324,
0.0838903,
0.0758669,
0.0729201,
0.0714753,
0.07002,
0.0685522,
0.0670697,
0.0655695,
0.0640476,
0.0624978,
0.0609112,
0.0592736,
0.0575607,
0.0557279,
0.0536795,
0.0511962,
0.0478904,
0.0434117,
0.0375971,
0.0303809,
0.0217261,
0.0116052,
-4.87237e-06,
-0.0274567,
-0.0594205,
-0.184204,
-1.51416
],
[
1.89024,
0.225329,
0.0795658,
0.063607,
0.0604443,
0.0591553,
0.0578632,
0.0565635,
0.0552548,
0.0539351,
0.052602,
0.0512519,
0.0498796,
0.0484771,
0.0470316,
0.0455205,
0.0438993,
0.0420618,
0.0397442,
0.0365135,
0.032044,
0.0262027,
0.018932,
0.0101943,
-4.1045e-05,
-0.0251153,
-0.0553289,
-0.175938,
-1.51316
],
[
1.88408,
0.228811,
0.0790665,
0.0543751,
0.0489069,
0.0477288,
0.04658,
0.0454291,
0.0442738,
0.0431129,
0.0419447,
0.0407671,
0.0395774,
0.038371,
0.0371413,
0.0358772,
0.0345585,
0.0331407,
0.0315025,
0.0293264,
0.0261464,
0.021677,
0.0158119,
0.00849898,
-0.000300631,
-0.0228306,
-0.0511037,
-0.167077,
-1.51281
],
[
1.8786,
0.232927,
0.0804963,
0.049786,
0.0384286,
0.0370962,
0.036021,
0.0349644,
0.0339107,
0.032856,
0.0317985,
0.0307363,
0.0296678,
0.0285905,
0.0275007,
0.0263933,
0.0252593,
0.0240807,
0.0228126,
0.0213162,
0.019211,
0.0160189,
0.0115051,
0.00558492,
-0.00179007,
-0.0217397,
-0.0479992,
-0.159287,
-1.51553
],
[
1.87387,
0.23786,
0.0831575,
0.0480625,
0.0290877,
0.0263156,
0.0248747,
0.0236928,
0.0225677,
0.0214641,
0.0203702,
0.0192804,
0.0181915,
0.0171008,
0.0160059,
0.0149039,
0.0137908,
0.0126596,
0.0114946,
0.0102525,
0.00877326,
0.00661003,
0.00329997,
-0.00133845,
-0.00737277,
-0.0248163,
-0.0490707,
-0.155777,
-1.5242
],
[
1.86991,
0.243749,
0.0868727,
0.0475147,
0.0210279,
0.0147877,
0.0113654,
0.00940982,
0.0078808,
0.00650399,
0.0052016,
0.00394272,
0.00271215,
0.00150125,
0.000304488,
-0.000882146,
-0.00206206,
-0.00323899,
-0.00441882,
-0.00561418,
-0.0068656,
-0.00835764,
-0.0105993,
-0.01401,
-0.0187208,
-0.0335538,
-0.0556014,
-0.15707,
-1.5373
],
[
1.86675,
0.250674,
0.0915336,
0.0477922,
0.0143805,
0.00397121,
-0.00287019,
-0.00673825,
-0.00912355,
-0.010975,
-0.0125877,
-0.0140681,
-0.0154639,
-0.0168005,
-0.0180928,
-0.0193503,
-0.0205793,
-0.0217847,
-0.0229709,
-0.0241429,
-0.0253106,
-0.0265108,
-0.0279578,
-0.0302106,
-0.0336116,
-0.0456851,
-0.0652641,
-0.160814,
-1.55171
],
[
1.86437,
0.258649,
0.0969964,
0.0488918,
0.00914066,
-0.00521499,
-0.0156744,
-0.0223292,
-0.0261129,
-0.0286547,
-0.0306724,
-0.032417,
-0.0339948,
-0.0354596,
-0.0368414,
-0.0381589,
-0.0394244,
-0.0406461,
-0.0418302,
-0.0429817,
-0.0441053,
-0.0452087,
-0.0463259,
-0.0477186,
-0.0499576,
-0.0592818,
-0.0762512,
-0.165743,
-1.56618
],
[
1.86275,
0.267636,
0.103092,
0.0507976,
0.00523429,
-0.0126952,
-0.0265771,
-0.0363251,
-0.0420446,
-0.0455255,
-0.0480592,
-0.0501247,
-0.0519164,
-0.0535282,
-0.0550117,
-0.0563982,
-0.0577077,
-0.0589541,
-0.0601471,
-0.0612944,
-0.0624018,
-0.0634746,
-0.06452,
-0.0655791,
-0.0670089,
-0.0737668,
-0.0880762,
-0.171707,
-1.5805
],
[
1.86184,
0.277557,
0.109653,
0.0534463,
0.00256707,
-0.0186035,
-0.0356722,
-0.0485169,
-0.056619,
-0.0613313,
-0.064517,
-0.0669772,
-0.0690302,
-0.070825,
-0.0724412,
-0.0739261,
-0.0753104,
-0.0766145,
-0.0778535,
-0.0790385,
-0.0801779,
-0.0812789,
-0.0823473,
-0.0833916,
-0.0845133,
-0.0891164,
-0.100762,
-0.178791,
-1.59467
],
[
1.86159,
0.288304,
0.116523,
0.0567313,
0.00100718,
-0.0231156,
-0.0431495,
-0.0589818,
-0.0697805,
-0.076074,
-0.0800942,
-0.0830572,
-0.0854504,
-0.0874944,
-0.0893045,
-0.0909476,
-0.092466,
-0.0938879,
-0.0952332,
-0.0965163,
-0.0977481,
-0.098937,
-0.10009,
-0.101212,
-0.102331,
-0.105523,
-0.114499,
-0.187033,
-1.60866
],
[
1.86195,
0.299731,
0.123511,
0.0604528,
0.00030588,
-0.0265196,
-0.0493204,
-0.0680027,
-0.0816716,
-0.0899437,
-0.0950373,
-0.0986467,
-0.101482,
-0.103857,
-0.105931,
-0.107793,
-0.109501,
-0.11109,
-0.112586,
-0.114007,
-0.115366,
-0.116674,
-0.117938,
-0.119166,
-0.120367,
-0.123004,
-0.129454,
-0.196404,
-1.62241
],
[
1.86284,
0.311602,
0.130312,
0.0642688,
8.0222e-05,
-0.0292187,
-0.0545923,
-0.0759639,
-0.0925244,
-0.103151,
-0.109586,
-0.11399,
-0.117359,
-0.120128,
-0.122512,
-0.124632,
-0.126558,
-0.128338,
-0.130004,
-0.131578,
-0.133077,
-0.134514,
-0.135899,
-0.137239,
-0.138542,
-0.14114,
-0.145641,
-0.206836,
-1.63589
],
[
1.86414,
0.323541,
0.136596,
0.067856,
2.15721e-05,
-0.0315118,
-0.0592427,
-0.0831073,
-0.102444,
-0.115709,
-0.123777,
-0.129128,
-0.133114,
-0.136329,
-0.139059,
-0.141459,
-0.143621,
-0.145605,
-0.147451,
-0.149187,
-0.150833,
-0.152404,
-0.153913,
-0.155368,
-0.156779,
-0.159515,
-0.162924,
-0.218244,
-1.64904
],
[
1.86565,
0.335174,
0.142205,
0.0710753,
6.18474e-06,
-0.0335174,
-0.0633781,
-0.0895211,
-0.111437,
-0.127492,
-0.13751,
-0.143989,
-0.148688,
-0.152404,
-0.155514,
-0.158218,
-0.160633,
-0.162834,
-0.16487,
-0.166774,
-0.168572,
-0.170282,
-0.171918,
-0.173492,
-0.175013,
-0.177933,
-0.180977,
-0.230534,
-1.66185
],
[
1.86722,
0.346274,
0.147125,
0.0739065,
1.80931e-06,
-0.0352757,
-0.0670407,
-0.0952447,
-0.119508,
-0.138351,
-0.150643,
-0.158472,
-0.164001,
-0.168283,
-0.171813,
-0.174848,
-0.177536,
-0.179967,
-0.182202,
-0.184283,
-0.186239,
-0.188093,
-0.189861,
-0.191556,
-0.19319,
-0.19631,
-0.199366,
-0.243609,
-1.6743
],
[
1.86875,
0.356703,
0.151392,
0.0763656,
5.09297e-07,
-0.0368083,
-0.0702609,
-0.100313,
-0.126685,
-0.148174,
-0.163017,
-0.172464,
-0.178969,
-0.183899,
-0.187898,
-0.191296,
-0.194277,
-0.196954,
-0.199401,
-0.201668,
-0.203789,
-0.205792,
-0.207697,
-0.209518,
-0.211267,
-0.214596,
-0.217788,
-0.257376,
-1.68638
],
[
1.87162,
0.375051,
0.158158,
0.080273,
2.7507e-08,
-0.0392676,
-0.0754942,
-0.108639,
-0.138551,
-0.164575,
-0.18486,
-0.198471,
-0.207518,
-0.21407,
-0.2192,
-0.223447,
-0.2271,
-0.23033,
-0.233245,
-0.235917,
-0.238396,
-0.24072,
-0.242915,
-0.245001,
-0.246996,
-0.250761,
-0.254311,
-0.286632,
-1.70948
],
[
1.87417,
0.389236,
0.162906,
0.0830219,
8.55403e-09,
-0.0410333,
-0.0793274,
-0.114844,
-0.147495,
-0.176959,
-0.202097,
-0.220895,
-0.233555,
-0.242363,
-0.248992,
-0.254315,
-0.25879,
-0.262679,
-0.26614,
-0.269277,
-0.272161,
-0.274842,
-0.277357,
-0.279734,
-0.281994,
-0.286231,
-0.290182,
-0.317648,
-1.73125
],
[
1.87892,
0.405513,
0.168573,
0.0863607,
3.13556e-08,
-0.0433863,
-0.0847815,
-0.124155,
-0.161472,
-0.196681,
-0.229661,
-0.26006,
-0.286856,
-0.308287,
-0.32392,
-0.335311,
-0.344045,
-0.351098,
-0.357029,
-0.362169,
-0.366728,
-0.370843,
-0.374608,
-0.378093,
-0.381347,
-0.387308,
-0.392708,
-0.415184,
-1.79085
],
[
1.87778,
0.394352,
0.163649,
0.0838034,
1.4238e-07,
-0.0424246,
-0.0836162,
-0.123557,
-0.162231,
-0.199621,
-0.23571,
-0.270477,
-0.303898,
-0.335924,
-0.366459,
-0.395264,
-0.421757,
-0.444867,
-0.463715,
-0.478589,
-0.490466,
-0.500236,
-0.508517,
-0.515712,
-0.522091,
-0.533082,
-0.54242,
-0.568321,
-1.88399
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000785,
"miller_cap_rise": 0.000627,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.01781962,
0.02485188,
0.0324425,
0.04100971,
0.0537387
],
"values": [
1.62,
1.26,
0.9,
0.54,
0.18
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.07033066,
0.08354688,
0.09391245,
0.1032001,
0.1159445
],
"values": [
1.62,
1.26,
0.9,
0.54,
0.18
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.02563004,
0.03793411,
0.05181883,
0.06885006,
0.09819134
],
"values": [
0.18,
0.54,
0.9,
1.26,
1.62
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.08396819,
0.1018734,
0.1158728,
0.132907,
0.1623509
],
"values": [
0.18,
0.54,
0.9,
1.26,
1.62
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.94833984375
],
"index_2": [
0.860108240511994
],
"index_3": [
0.001
],
"index_4": [
0.4232296,
0.4520122,
0.5050973,
0.5618331,
0.6038558
],
"values": [
1.4338206,
1.21411296,
1.0676412,
1.21411296,
1.4338206
]
},
{
"index_1": [
1.11533203125
],
"index_2": [
0.383449460187589
],
"index_3": [
0.001
],
"index_4": [
0.1923317,
0.2122505,
0.2466765,
0.293647,
0.3250725
],
"values": [
1.31989325,
1.0318292,
0.8397865,
1.0318292,
1.31989325
]
},
{
"index_1": [
1.27705078125
],
"index_2": [
0.227414438463796
],
"index_3": [
0.001
],
"index_4": [
0.1166979,
0.1320316,
0.1586642,
0.1954924,
0.2193906
],
"values": [
1.278518,
0.9656288,
0.757036,
0.9656288,
1.278518
]
},
{
"index_1": [
0.94833984375
],
"index_2": [
0.430054120255997
],
"index_3": [
0.001
],
"index_4": [
0.2168646,
0.2321671,
0.2609672,
0.2934436,
0.3237604
],
"values": [
1.59490565,
1.47184904,
1.3898113,
1.47184904,
1.59490565
]
},
{
"index_1": [
1.11533203125
],
"index_2": [
0.191724730093795
],
"index_3": [
0.001
],
"index_4": [
0.1009664,
0.1113741,
0.1292823,
0.1564487,
0.1797337
],
"values": [
1.5528345,
1.4045352,
1.305669,
1.4045352,
1.5528345
]
},
{
"index_1": [
1.27705078125
],
"index_2": [
0.113707219231898
],
"index_3": [
0.001
],
"index_4": [
0.06271933,
0.0705805,
0.08599491,
0.1070244,
0.1231254
],
"values": [
1.5406325,
1.385012,
1.281265,
1.385012,
1.5406325
]
},
{
"index_1": [
1.11533203125
],
"index_2": [
0.575174190281384
],
"index_3": [
0.001
],
"index_4": [
0.282414,
0.3116253,
0.364041,
0.4252484,
0.4697839
],
"values": [
1.1374695,
0.7399512,
0.474939,
0.7399512,
1.1374695
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.04150390625
],
"index_2": [
1.16176533056046
],
"index_3": [
0.001
],
"index_4": [
0.5655264,
0.5953589,
0.6405345,
0.682107,
0.7134485
],
"values": [
0.191574,
0.3065184,
0.383148,
0.3065184,
0.191574
]
},
{
"index_1": [
1.22607421875
],
"index_2": [
0.604860113798613
],
"index_3": [
0.001
],
"index_4": [
0.2991976,
0.3258367,
0.3688826,
0.4082985,
0.4337898
],
"values": [
0.39079455,
0.62527128,
0.7815891,
0.62527128,
0.39079455
]
},
{
"index_1": [
1.37724609375
],
"index_2": [
0.396945541619552
],
"index_3": [
0.001
],
"index_4": [
0.1997466,
0.2226012,
0.2605016,
0.2926722,
0.3136151
],
"values": [
0.4673592,
0.74777472,
0.9347184,
0.74777472,
0.4673592
]
},
{
"index_1": [
1.04150390625
],
"index_2": [
0.580882665280232
],
"index_3": [
0.001
],
"index_4": [
0.2854915,
0.302094,
0.3242063,
0.3541162,
0.3763949
],
"values": [
0.1206266,
0.19300256,
0.2412532,
0.19300256,
0.1206266
]
},
{
"index_1": [
1.22607421875
],
"index_2": [
0.302430056899306
],
"index_3": [
0.001
],
"index_4": [
0.1548549,
0.1683483,
0.1883067,
0.211666,
0.226967
],
"values": [
0.217929,
0.3486864,
0.435858,
0.3486864,
0.217929
]
},
{
"index_1": [
1.37724609375
],
"index_2": [
0.198472770809776
],
"index_3": [
0.001
],
"index_4": [
0.1051066,
0.1166071,
0.135464,
0.1521709,
0.1648748
],
"values": [
0.2523512,
0.40376192,
0.5047024,
0.40376192,
0.2523512
]
},
{
"index_1": [
1.22607421875
],
"index_2": [
0.907290170697919
],
"index_3": [
0.001
],
"index_4": [
0.4424312,
0.4824325,
0.5422545,
0.6007547,
0.6366871
],
"values": [
0.527975,
0.84476,
1.05595,
0.84476,
0.527975
]
}
]
},
"stage_type": "both"
},
"clock": "false",
"direction": "input",
"internal_power": {
"fall_power hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.03178,
0.03161,
0.03219,
0.03101,
0.03141,
0.03117,
0.03134,
0.03157,
0.03213,
0.0358,
0.03754,
0.04351,
0.04837
]
},
"rise_power hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.01912,
0.01886,
0.0174,
0.01763,
0.01834,
0.01793,
0.01793,
0.01787,
0.0184,
0.02082,
0.02247,
0.02719,
0.03207
]
}
},
"max_transition": 1.0,
"nextstate_type": "scan_enable",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"fall_constraint sethold_template_fall10x10": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.20215,
0.19629,
0.1875,
0.17578,
0.16992,
0.16699,
0.16992,
0.17871,
0.19043,
0.20215
],
[
0.20801,
0.20215,
0.19336,
0.18457,
0.17578,
0.17285,
0.17578,
0.18457,
0.19922,
0.21387
],
[
0.21973,
0.21387,
0.20215,
0.19336,
0.1875,
0.18457,
0.1875,
0.19336,
0.21094,
0.22266
],
[
0.2373,
0.23145,
0.22266,
0.21387,
0.20508,
0.20215,
0.20508,
0.21387,
0.22559,
0.23438
],
[
0.27539,
0.26953,
0.26074,
0.25195,
0.24316,
0.24316,
0.24316,
0.25195,
0.26367,
0.27539
],
[
0.35156,
0.3457,
0.33984,
0.32812,
0.31641,
0.31641,
0.31641,
0.32227,
0.33398,
0.3457
],
[
0.41602,
0.41016,
0.39844,
0.38672,
0.38086,
0.38086,
0.38086,
0.38672,
0.39258,
0.4043
],
[
0.47461,
0.46289,
0.45703,
0.44531,
0.43945,
0.43359,
0.43945,
0.44531,
0.45117,
0.45703
],
[
0.52148,
0.51562,
0.50977,
0.49805,
0.49219,
0.48633,
0.49219,
0.49805,
0.50391,
0.51562
],
[
0.57422,
0.56836,
0.55664,
0.55078,
0.53906,
0.53906,
0.53906,
0.54492,
0.55078,
0.5625
]
]
},
"related_pin": "CLK",
"rise_constraint sethold_template_rise10x10": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.24023,
0.23145,
0.22266,
0.21387,
0.20508,
0.20215,
0.20508,
0.20801,
0.21387,
0.22266
],
[
0.24902,
0.24023,
0.23145,
0.21973,
0.21387,
0.21094,
0.21094,
0.2168,
0.22266,
0.22852
],
[
0.25781,
0.24902,
0.24023,
0.23145,
0.22266,
0.21973,
0.21973,
0.22559,
0.23145,
0.2373
],
[
0.26953,
0.26074,
0.25195,
0.24023,
0.23438,
0.23145,
0.23145,
0.2373,
0.24316,
0.24902
],
[
0.27832,
0.27246,
0.26367,
0.25488,
0.24609,
0.24316,
0.24316,
0.24902,
0.25488,
0.26074
],
[
0.29004,
0.28125,
0.27246,
0.26367,
0.25488,
0.25195,
0.25488,
0.25781,
0.26367,
0.27246
],
[
0.29297,
0.28418,
0.27539,
0.2666,
0.25781,
0.25488,
0.25488,
0.26074,
0.2666,
0.27246
],
[
0.29004,
0.28418,
0.27539,
0.26367,
0.25781,
0.25488,
0.25488,
0.26074,
0.2666,
0.27832
],
[
0.28711,
0.28125,
0.27539,
0.26074,
0.25488,
0.25195,
0.25488,
0.26367,
0.27539,
0.28711
],
[
0.29883,
0.29004,
0.28125,
0.26953,
0.26367,
0.25195,
0.26074,
0.26953,
0.28125,
0.29297
]
]
},
"timing_type": "setup_rising"
},
{
"fall_constraint sethold_template_fall10x10": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
-0.04981,
-0.04395,
-0.04102,
-0.04688,
-0.04688,
-0.06153,
-0.07618,
-0.08497,
-0.07325,
-0.07325
],
[
-0.06153,
-0.05567,
-0.05274,
-0.04981,
-0.0586,
-0.06739,
-0.08204,
-0.09083,
-0.08202,
-0.07323
],
[
-0.07323,
-0.06737,
-0.06739,
-0.07032,
-0.07032,
-0.08497,
-0.09374,
-0.0996,
-0.08788,
-0.08788
],
[
-0.10254,
-0.09667,
-0.09374,
-0.09081,
-0.0996,
-0.11425,
-0.12304,
-0.12011,
-0.11425,
-0.11132
],
[
-0.12891,
-0.12305,
-0.12598,
-0.12305,
-0.13184,
-0.1377,
-0.15528,
-0.16407,
-0.15821,
-0.15235
],
[
-0.15234,
-0.14648,
-0.14062,
-0.14648,
-0.15235,
-0.16405,
-0.18163,
-0.19335,
-0.20508,
-0.22266
],
[
-0.16406,
-0.1582,
-0.1582,
-0.16406,
-0.16406,
-0.17578,
-0.19336,
-0.19922,
-0.22852,
-0.24024
],
[
-0.16993,
-0.16993,
-0.16407,
-0.17579,
-0.16993,
-0.18751,
-0.20509,
-0.21093,
-0.22851,
-0.25781
],
[
-0.19336,
-0.1875,
-0.18163,
-0.18163,
-0.18749,
-0.20507,
-0.21093,
-0.22851,
-0.24609,
-0.25782
],
[
-0.19922,
-0.19336,
-0.19336,
-0.19922,
-0.19922,
-0.21094,
-0.22266,
-0.24024,
-0.25782,
-0.26954
]
]
},
"related_pin": "CLK",
"rise_constraint sethold_template_rise10x10": {
"index_1": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"index_2": [
0.01,
0.03125,
0.0625,
0.125,
0.25,
0.5,
0.75,
1.0,
1.25,
1.5
],
"values": [
[
0.07031,
0.07325,
0.07618,
0.07911,
0.07618,
0.07325,
0.0586,
0.04395,
0.03223,
0.01758
],
[
0.06738,
0.07031,
0.07325,
0.07325,
0.07325,
0.07032,
0.05274,
0.04102,
0.0293,
0.01172
],
[
0.05859,
0.06152,
0.06445,
0.06739,
0.06446,
0.05567,
0.04395,
0.03223,
0.01465,
-0.00294
],
[
0.03515,
0.03808,
0.04101,
0.04101,
0.04102,
0.03223,
0.02051,
0.00878,
-0.0088,
-0.02638
],
[
-0.0205,
-0.00878,
-0.00587,
-0.00294,
-0.00587,
-0.0088,
-0.02638,
-0.03808,
-0.0498,
-0.06738
],
[
-0.07324,
-0.07031,
-0.06738,
-0.05859,
-0.06152,
-0.07031,
-0.0791,
-0.09375,
-0.10547,
-0.12012
],
[
-0.11133,
-0.1084,
-0.09961,
-0.09668,
-0.09375,
-0.10254,
-0.11426,
-0.12598,
-0.14356,
-0.16114
],
[
-0.13184,
-0.12598,
-0.12305,
-0.11719,
-0.11719,
-0.12598,
-0.1377,
-0.14942,
-0.167,
-0.17872
],
[
-0.14649,
-0.14063,
-0.13477,
-0.13184,
-0.13184,
-0.14063,
-0.14942,
-0.15821,
-0.16993,
-0.18165
],
[
-0.14063,
-0.1377,
-0.12891,
-0.12891,
-0.12891,
-0.14649,
-0.14942,
-0.15821,
-0.16993,
-0.17579
]
]
},
"timing_type": "hold_rising"
}
]
},
"test_cell": {
"ff IQ, IQ_N": {
"clocked_on": "CLK",
"next_state": "D"
},
"pin CLK": {
"direction": "input"
},
"pin D": {
"direction": "input"
},
"pin Q": {
"direction": "output",
"function": "IQ",
"signal_type": "test_scan_out"
},
"pin SCD": {
"direction": "input",
"signal_type": "test_scan_in"
},
"pin SCE": {
"direction": "input",
"signal_type": "test_scan_enable"
}
}
}