blob: 7b2231e81699e767613a040bd621e4a4d315317b [file] [log] [blame]
{
"area": 14.3856,
"cell_footprint": "nand2",
"cell_leakage_power": 176.97993,
"leakage_power": [
{
"value": 0.23889,
"when": "!A&!B"
},
{
"value": 3.62632,
"when": "!A&B"
},
{
"value": 2.82808,
"when": "A&!B"
},
{
"value": 701.22642,
"when": "A&B"
}
],
"pg_pin VGND": {
"pg_type": "primary_ground",
"voltage_name": "VGND"
},
"pg_pin VNB": {
"pg_type": "primary_ground",
"voltage_name": "VNB"
},
"pg_pin VPB": {
"pg_type": "primary_power",
"voltage_name": "VPB"
},
"pg_pin VPWR": {
"pg_type": "primary_power",
"voltage_name": "VPWR"
},
"pin A": {
"capacitance": 0.00756,
"clock": "false",
"direction": "input",
"internal_power": {
"fall_power hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.00192,
0.00151,
0.00151,
0.00151,
0.00153,
0.00144,
0.00154,
0.00147,
0.0015,
0.00161,
0.00161,
0.00181,
0.00201
]
},
"rise_power hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.00581,
0.00541,
0.00528,
0.00522,
0.00517,
0.00519,
0.00513,
0.00523,
0.00525,
0.0059,
0.00621,
0.00716,
0.00793
]
}
},
"max_transition": 1.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR"
},
"pin B": {
"capacitance": 0.00727,
"clock": "false",
"direction": "input",
"internal_power": {
"fall_power hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.00581,
0.00541,
0.00528,
0.00522,
0.00517,
0.00519,
0.00513,
0.00523,
0.00525,
0.0059,
0.00621,
0.00716,
0.00793
]
},
"rise_power hidden_pwr_template13": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"values": [
0.00192,
0.00151,
0.00151,
0.00151,
0.00153,
0.00144,
0.00154,
0.00147,
0.0015,
0.00161,
0.00161,
0.00181,
0.00201
]
}
},
"max_transition": 1.0,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR"
},
"pin Y": {
"direction": "output",
"function": "(!A) | (!B)",
"internal_power": [
{
"fall_power pwr_template13x16": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00836,
0.01045,
0.01306,
0.01632,
0.0204,
0.0255,
0.03187,
0.03984,
0.0498,
0.06225,
0.07781,
0.09726,
0.12158,
0.15197,
0.18996
],
"values": [
[
0.00752,
-0.00316,
-0.00596,
-0.00931,
-0.01348,
-0.01861,
-0.02514,
-0.0333,
-0.04351,
-0.05626,
-0.0722,
-0.09212,
-0.11702,
-0.14815,
-0.18706,
-0.23568
],
[
0.00782,
-0.00314,
-0.00583,
-0.00919,
-0.01338,
-0.01855,
-0.0251,
-0.03331,
-0.04347,
-0.05628,
-0.07225,
-0.09217,
-0.11708,
-0.14821,
-0.18711,
-0.23574
],
[
0.00795,
-0.00302,
-0.00572,
-0.00908,
-0.01327,
-0.01852,
-0.02506,
-0.03326,
-0.04349,
-0.0562,
-0.07218,
-0.09213,
-0.11697,
-0.14811,
-0.18702,
-0.23564
],
[
0.00815,
-0.00291,
-0.00565,
-0.00905,
-0.01322,
-0.01847,
-0.02504,
-0.03321,
-0.04342,
-0.05618,
-0.07211,
-0.09206,
-0.11694,
-0.14811,
-0.18701,
-0.23562
],
[
0.00836,
-0.0028,
-0.00554,
-0.00893,
-0.01314,
-0.0184,
-0.02495,
-0.03312,
-0.04337,
-0.05614,
-0.0721,
-0.09204,
-0.11695,
-0.14808,
-0.18699,
-0.23563
],
[
0.00854,
-0.00265,
-0.00539,
-0.00879,
-0.01302,
-0.01828,
-0.02489,
-0.03308,
-0.04333,
-0.05612,
-0.07208,
-0.09201,
-0.11693,
-0.14808,
-0.18699,
-0.23562
],
[
0.00878,
-0.00244,
-0.0052,
-0.00862,
-0.01287,
-0.01816,
-0.02475,
-0.03299,
-0.04325,
-0.05603,
-0.07201,
-0.09196,
-0.11688,
-0.14803,
-0.18695,
-0.2356
],
[
0.009,
-0.00222,
-0.00497,
-0.00839,
-0.01267,
-0.01799,
-0.0246,
-0.03283,
-0.04313,
-0.05595,
-0.07194,
-0.0919,
-0.11683,
-0.14799,
-0.18691,
-0.23557
],
[
0.00918,
-0.00198,
-0.00474,
-0.00816,
-0.01243,
-0.01777,
-0.02439,
-0.03265,
-0.04297,
-0.05581,
-0.07182,
-0.0918,
-0.11675,
-0.14793,
-0.18686,
-0.23552
],
[
0.00934,
-0.00176,
-0.00451,
-0.00794,
-0.0122,
-0.01752,
-0.02417,
-0.03241,
-0.04276,
-0.05562,
-0.07165,
-0.09166,
-0.11664,
-0.14783,
-0.18679,
-0.23546
],
[
0.00948,
-0.00156,
-0.0043,
-0.00772,
-0.01197,
-0.01729,
-0.02393,
-0.03219,
-0.0425,
-0.05539,
-0.07144,
-0.09147,
-0.11647,
-0.14769,
-0.18667,
-0.23535
],
[
0.00956,
-0.0014,
-0.00413,
-0.00752,
-0.01177,
-0.01709,
-0.02371,
-0.03196,
-0.04227,
-0.05516,
-0.07121,
-0.09126,
-0.11628,
-0.14752,
-0.18652,
-0.23524
],
[
0.0096,
-0.00129,
-0.00401,
-0.0074,
-0.01162,
-0.01692,
-0.02352,
-0.03176,
-0.04205,
-0.05491,
-0.07097,
-0.09102,
-0.11605,
-0.1473,
-0.18632,
-0.23506
]
]
},
"related_pin": "A",
"rise_power pwr_template13x16": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00836,
0.01045,
0.01306,
0.01632,
0.0204,
0.0255,
0.03187,
0.03984,
0.0498,
0.06225,
0.07781,
0.09726,
0.12158,
0.15197,
0.18996
],
"values": [
[
0.02748,
0.03894,
0.04168,
0.04508,
0.04929,
0.05456,
0.06111,
0.06934,
0.07943,
0.09212,
0.1079,
0.12773,
0.15238,
0.18322,
0.22194,
0.2699
],
[
0.02706,
0.03863,
0.04144,
0.04481,
0.04914,
0.05435,
0.06102,
0.06914,
0.07932,
0.09203,
0.10784,
0.12761,
0.15249,
0.18341,
0.22191,
0.26988
],
[
0.02672,
0.03832,
0.04117,
0.04454,
0.04888,
0.05413,
0.06078,
0.06895,
0.07926,
0.09194,
0.10774,
0.12762,
0.15241,
0.18337,
0.22185,
0.26987
],
[
0.02644,
0.03797,
0.04079,
0.04425,
0.04854,
0.05394,
0.06057,
0.06879,
0.07895,
0.09179,
0.10758,
0.12753,
0.1522,
0.18307,
0.22168,
0.27004
],
[
0.02619,
0.0376,
0.04042,
0.04382,
0.04818,
0.05352,
0.06023,
0.06839,
0.07877,
0.09148,
0.10737,
0.12706,
0.15204,
0.18272,
0.2214,
0.26965
],
[
0.02599,
0.03721,
0.04002,
0.04348,
0.0478,
0.05314,
0.05984,
0.06799,
0.07833,
0.09112,
0.10723,
0.12689,
0.15172,
0.18249,
0.22128,
0.26959
],
[
0.02583,
0.03695,
0.0397,
0.0431,
0.04746,
0.05278,
0.05935,
0.0677,
0.07791,
0.0907,
0.10677,
0.12674,
0.15137,
0.18233,
0.22085,
0.26921
],
[
0.02571,
0.03667,
0.03944,
0.04282,
0.04707,
0.05242,
0.05902,
0.06724,
0.07754,
0.09048,
0.10639,
0.1263,
0.15115,
0.18202,
0.22037,
0.26913
],
[
0.0257,
0.03651,
0.03921,
0.04257,
0.04673,
0.05209,
0.05867,
0.06683,
0.07707,
0.09011,
0.10594,
0.12573,
0.15072,
0.18153,
0.21994,
0.26827
],
[
0.02568,
0.03634,
0.03905,
0.04241,
0.04661,
0.05185,
0.05837,
0.06657,
0.07677,
0.08971,
0.10564,
0.1254,
0.15036,
0.18126,
0.21993,
0.26826
],
[
0.02557,
0.03635,
0.03897,
0.04227,
0.04645,
0.05173,
0.0583,
0.06645,
0.07655,
0.08918,
0.10544,
0.1253,
0.15025,
0.1811,
0.22001,
0.26836
],
[
0.02562,
0.03638,
0.0391,
0.04243,
0.04651,
0.05167,
0.0582,
0.06627,
0.07641,
0.08903,
0.10489,
0.1247,
0.14966,
0.18048,
0.21888,
0.2677
],
[
0.02566,
0.03634,
0.03903,
0.04244,
0.04658,
0.05177,
0.05824,
0.06631,
0.07631,
0.08896,
0.1047,
0.12462,
0.14921,
0.1802,
0.21894,
0.26693
]
]
},
"when": "(B)"
},
{
"fall_power pwr_template13x16": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00836,
0.01045,
0.01306,
0.01632,
0.0204,
0.0255,
0.03187,
0.03984,
0.0498,
0.06225,
0.07781,
0.09726,
0.12158,
0.15197,
0.18996
],
"values": [
[
0.00762,
-0.00314,
-0.00582,
-0.00917,
-0.01335,
-0.01857,
-0.02511,
-0.03326,
-0.04346,
-0.05622,
-0.07216,
-0.09208,
-0.11697,
-0.14811,
-0.18701,
-0.23564
],
[
0.00771,
-0.0031,
-0.00578,
-0.00913,
-0.01331,
-0.01854,
-0.02508,
-0.03324,
-0.04344,
-0.0562,
-0.07214,
-0.09206,
-0.11696,
-0.14809,
-0.18699,
-0.23562
],
[
0.00779,
-0.00305,
-0.00574,
-0.00909,
-0.01328,
-0.01851,
-0.02505,
-0.03321,
-0.04343,
-0.05618,
-0.07217,
-0.09209,
-0.11699,
-0.14813,
-0.18702,
-0.23565
],
[
0.00791,
-0.00299,
-0.00572,
-0.00908,
-0.01324,
-0.01852,
-0.02504,
-0.03321,
-0.0434,
-0.05619,
-0.07211,
-0.09206,
-0.11696,
-0.1481,
-0.18701,
-0.23564
],
[
0.00805,
-0.00291,
-0.00561,
-0.00899,
-0.01319,
-0.01844,
-0.02499,
-0.03317,
-0.04338,
-0.05615,
-0.07209,
-0.09202,
-0.11693,
-0.14807,
-0.18697,
-0.23561
],
[
0.00822,
-0.00281,
-0.00552,
-0.0089,
-0.01312,
-0.01836,
-0.02493,
-0.0331,
-0.04333,
-0.0561,
-0.07207,
-0.09199,
-0.11691,
-0.14804,
-0.18696,
-0.23558
],
[
0.00838,
-0.00266,
-0.00538,
-0.00878,
-0.013,
-0.01828,
-0.02484,
-0.03304,
-0.04327,
-0.05605,
-0.07201,
-0.09195,
-0.11687,
-0.14801,
-0.18693,
-0.23556
],
[
0.00854,
-0.0025,
-0.00523,
-0.00863,
-0.01286,
-0.01814,
-0.02473,
-0.03294,
-0.04319,
-0.05598,
-0.07195,
-0.0919,
-0.11683,
-0.14797,
-0.1869,
-0.23554
],
[
0.00868,
-0.00234,
-0.00507,
-0.00847,
-0.01271,
-0.01799,
-0.02459,
-0.03281,
-0.04307,
-0.05588,
-0.07187,
-0.09183,
-0.11676,
-0.14792,
-0.18685,
-0.2355
],
[
0.00881,
-0.00217,
-0.0049,
-0.0083,
-0.01254,
-0.01783,
-0.02443,
-0.03266,
-0.04293,
-0.05575,
-0.07175,
-0.09173,
-0.11668,
-0.14785,
-0.18679,
-0.23545
],
[
0.0089,
-0.00202,
-0.00474,
-0.00814,
-0.01237,
-0.01766,
-0.02426,
-0.03249,
-0.04277,
-0.0556,
-0.07161,
-0.0916,
-0.11657,
-0.14776,
-0.18672,
-0.23539
],
[
0.00896,
-0.00192,
-0.00463,
-0.00801,
-0.01223,
-0.01752,
-0.02411,
-0.03234,
-0.04262,
-0.05545,
-0.07146,
-0.09146,
-0.11644,
-0.14764,
-0.18661,
-0.2353
],
[
0.00901,
-0.00182,
-0.00453,
-0.0079,
-0.01211,
-0.01739,
-0.02397,
-0.03219,
-0.04246,
-0.05528,
-0.0713,
-0.09131,
-0.11628,
-0.1475,
-0.18648,
-0.23518
]
]
},
"related_pin": "B",
"rise_power pwr_template13x16": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00836,
0.01045,
0.01306,
0.01632,
0.0204,
0.0255,
0.03187,
0.03984,
0.0498,
0.06225,
0.07781,
0.09726,
0.12158,
0.15197,
0.18996
],
"values": [
[
0.03842,
0.04917,
0.05183,
0.05523,
0.05934,
0.06456,
0.07097,
0.07903,
0.08921,
0.10181,
0.11763,
0.13728,
0.16197,
0.19283,
0.23143,
0.27933
],
[
0.0381,
0.04895,
0.05162,
0.05493,
0.05909,
0.06436,
0.07076,
0.07891,
0.08901,
0.10172,
0.11748,
0.13694,
0.16201,
0.19272,
0.23106,
0.27927
],
[
0.03784,
0.04875,
0.05144,
0.05484,
0.05892,
0.06423,
0.07074,
0.07877,
0.08885,
0.1015,
0.1174,
0.137,
0.16152,
0.19248,
0.23111,
0.27913
],
[
0.03755,
0.04853,
0.05124,
0.05454,
0.05876,
0.06402,
0.07048,
0.07861,
0.08874,
0.10135,
0.11727,
0.13693,
0.16181,
0.19238,
0.23119,
0.27919
],
[
0.03731,
0.04826,
0.05096,
0.05437,
0.05851,
0.06381,
0.07034,
0.0784,
0.08855,
0.10119,
0.11715,
0.13688,
0.16155,
0.19257,
0.2308,
0.27894
],
[
0.0371,
0.04802,
0.0507,
0.05408,
0.05831,
0.06354,
0.07004,
0.07813,
0.08839,
0.101,
0.11693,
0.1367,
0.16108,
0.19206,
0.23108,
0.27866
],
[
0.03692,
0.0478,
0.0505,
0.0538,
0.05803,
0.0632,
0.06987,
0.07802,
0.08808,
0.10083,
0.11662,
0.13636,
0.16119,
0.19198,
0.23071,
0.27859
],
[
0.03677,
0.0476,
0.05027,
0.05358,
0.05785,
0.06308,
0.06954,
0.07776,
0.08781,
0.10051,
0.11634,
0.13623,
0.16067,
0.19173,
0.23019,
0.27819
],
[
0.03665,
0.04742,
0.05017,
0.05347,
0.05764,
0.06289,
0.06936,
0.07753,
0.08759,
0.10022,
0.11603,
0.13568,
0.16038,
0.19141,
0.22996,
0.27812
],
[
0.03661,
0.04732,
0.05,
0.05329,
0.05765,
0.06272,
0.06916,
0.07729,
0.08749,
0.10019,
0.11609,
0.13587,
0.16033,
0.19122,
0.22983,
0.27809
],
[
0.03655,
0.04721,
0.04988,
0.05318,
0.05738,
0.06244,
0.06902,
0.07709,
0.08718,
0.09984,
0.11576,
0.136,
0.16053,
0.19137,
0.23012,
0.2782
],
[
0.03656,
0.04735,
0.04998,
0.05329,
0.05735,
0.0626,
0.06906,
0.07722,
0.08711,
0.0998,
0.11566,
0.1356,
0.16013,
0.19095,
0.22961,
0.2773
],
[
0.03656,
0.04733,
0.04998,
0.05338,
0.05746,
0.06264,
0.06908,
0.07707,
0.08719,
0.09986,
0.11546,
0.13512,
0.1602,
0.19081,
0.22946,
0.27759
]
]
},
"when": "(A)"
}
],
"max_capacitance": 0.12387,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"ccsn_first_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"index_2": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"values": [
[
4.5719,
0.747566,
0.686283,
0.662029,
0.633553,
0.617227,
0.599348,
0.579866,
0.558754,
0.535998,
0.511593,
0.485537,
0.457831,
0.428479,
0.397488,
0.364865,
0.33062,
0.294765,
0.257314,
0.21828,
0.177681,
0.135533,
0.0918567,
0.0466719,
-1.13532e-08,
-0.0934729,
-0.185856,
-0.541633,
-5.3056
],
[
4.32032,
0.522495,
0.483921,
0.470523,
0.456377,
0.448917,
0.441114,
0.43287,
0.424046,
0.414428,
0.403694,
0.391397,
0.377068,
0.360378,
0.341151,
0.319299,
0.294773,
0.26754,
0.237575,
0.204863,
0.169396,
0.13117,
0.0901891,
0.0464608,
-3.09297e-08,
-0.0960932,
-0.194047,
-0.575304,
-5.32285
],
[
4.11174,
0.330114,
0.302167,
0.293388,
0.284383,
0.27977,
0.275064,
0.270245,
0.26529,
0.260164,
0.254819,
0.249188,
0.243166,
0.236578,
0.229111,
0.220193,
0.209035,
0.19499,
0.177722,
0.157063,
0.132911,
0.105187,
0.0738278,
0.0387798,
-6.54221e-09,
-0.085093,
-0.177409,
-0.555295,
-5.32485
],
[
4.0479,
0.271986,
0.237864,
0.230474,
0.222953,
0.219128,
0.21525,
0.211309,
0.20729,
0.203176,
0.198943,
0.194559,
0.189975,
0.185121,
0.179875,
0.174012,
0.167065,
0.158197,
0.146508,
0.131473,
0.112845,
0.090483,
0.0642801,
0.0341454,
-6.54249e-09,
-0.0777565,
-0.165401,
-0.536135,
-5.32146
],
[
3.99895,
0.267192,
0.175507,
0.169433,
0.163301,
0.160205,
0.157085,
0.153935,
0.150747,
0.147514,
0.144225,
0.140864,
0.137411,
0.133837,
0.130098,
0.126119,
0.121763,
0.11671,
0.110221,
0.101177,
0.0887624,
0.0726074,
0.0525199,
0.0283584,
-6.54332e-09,
-0.0682447,
-0.149442,
-0.508935,
-5.31627
],
[
3.97899,
0.308866,
0.145997,
0.140554,
0.135085,
0.132335,
0.12957,
0.126789,
0.123985,
0.121154,
0.118287,
0.115377,
0.112409,
0.109366,
0.106221,
0.102934,
0.0994303,
0.0955603,
0.0909313,
0.0846101,
0.0753975,
0.0625831,
0.045861,
0.0250495,
-6.55059e-09,
-0.0626621,
-0.139921,
-0.492052,
-5.31291
],
[
3.96092,
0.377866,
0.118157,
0.113316,
0.108491,
0.106075,
0.103654,
0.101226,
0.0987881,
0.096337,
0.0938682,
0.0913758,
0.0888523,
0.0862868,
0.0836643,
0.080962,
0.0781428,
0.075137,
0.0717779,
0.0675692,
0.0613632,
0.0519514,
0.038747,
0.0214899,
-6.99857e-09,
-0.0565484,
-0.12938,
-0.472881,
-5.30901
],
[
3.94441,
0.456947,
0.0925036,
0.0881418,
0.0839464,
0.0818548,
0.0797661,
0.0776789,
0.0755918,
0.0735029,
0.0714095,
0.0693087,
0.0671959,
0.0650654,
0.0629091,
0.060715,
0.058465,
0.0561273,
0.0536364,
0.0508063,
0.0470017,
0.0408644,
0.0312571,
0.0177128,
-1.19378e-08,
-0.0499383,
-0.117857,
-0.451389,
-5.30453
],
[
3.92947,
0.53194,
0.0701258,
0.0654527,
0.0618724,
0.0600986,
0.0583339,
0.0565778,
0.0548297,
0.0530886,
0.0513535,
0.0496227,
0.0478943,
0.0461655,
0.0444322,
0.0426891,
0.0409278,
0.0391348,
0.0372851,
0.0353193,
0.0330283,
0.0296029,
0.0235143,
0.013768,
-6.74967e-08,
-0.0428851,
-0.105418,
-0.427582,
-5.29946
],
[
3.91628,
0.598966,
0.0553496,
0.0456784,
0.0426774,
0.0412175,
0.039772,
0.0383409,
0.0369239,
0.0355211,
0.0341319,
0.0327561,
0.0313929,
0.0300413,
0.0286999,
0.0273666,
0.0260383,
0.02471,
0.0233732,
0.0220103,
0.0205707,
0.0188203,
0.0157494,
0.00974,
-6.74666e-07,
-0.0354643,
-0.0921654,
-0.40152,
-5.29379
],
[
3.90497,
0.658663,
0.0608853,
0.029428,
0.0267483,
0.0256025,
0.0244763,
0.0233692,
0.0222815,
0.0212134,
0.020165,
0.0191365,
0.018128,
0.0171394,
0.0161707,
0.0152213,
0.0142907,
0.0133776,
0.0124797,
0.0115928,
0.0107065,
0.00978153,
0.00855862,
0.00581456,
-7.22283e-06,
-0.0277869,
-0.0782476,
-0.373341,
-5.28754
],
[
3.89564,
0.711493,
0.0941308,
0.0187315,
0.0144572,
0.0136237,
0.0128224,
0.0120447,
0.0112905,
0.0105601,
0.00985386,
0.00917204,
0.00851488,
0.00788257,
0.00727523,
0.00669291,
0.00613551,
0.00560278,
0.0050942,
0.0046088,
0.00414458,
0.00369604,
0.00323451,
0.00245301,
-7.49266e-05,
-0.0200791,
-0.0639436,
-0.343396,
-5.28097
],
[
3.88845,
0.757183,
0.143098,
0.0219815,
0.00614689,
0.00553995,
0.0050512,
0.0045907,
0.00415482,
0.00374268,
0.0033537,
0.00298739,
0.00264317,
0.00232042,
0.0020184,
0.00173632,
0.00147326,
0.00122822,
0.0010001,
0.000787665,
0.000589533,
0.000403896,
0.000227048,
2.98859e-05,
-0.000691153,
-0.0132329,
-0.0502238,
-0.313029,
-5.27596
],
[
3.88379,
0.796371,
0.192052,
0.0480066,
0.00182741,
0.000609845,
0.00022513,
-0.000100795,
-0.000405333,
-0.000696455,
-0.000977788,
-0.00125167,
-0.00151992,
-0.00178407,
-0.00204553,
-0.00230554,
-0.0025653,
-0.0028259,
-0.00308837,
-0.00335366,
-0.00362267,
-0.00389631,
-0.00417562,
-0.00446402,
-0.00486714,
-0.0114421,
-0.0413752,
-0.287661,
-5.2804
],
[
3.88209,
0.830689,
0.232258,
0.0806621,
0.000385608,
-0.00627179,
-0.00774766,
-0.00864177,
-0.00942182,
-0.010161,
-0.0108833,
-0.0115995,
-0.0123155,
-0.0130348,
-0.0137596,
-0.0144915,
-0.0152316,
-0.0159806,
-0.0167392,
-0.0175078,
-0.0182868,
-0.0190764,
-0.0198769,
-0.0206891,
-0.0215344,
-0.0251979,
-0.0477711,
-0.277942,
-5.30487
],
[
3.88317,
0.860761,
0.263525,
0.106041,
7.48447e-05,
-0.0213123,
-0.0289281,
-0.0318579,
-0.0338586,
-0.035558,
-0.0371177,
-0.0386002,
-0.0400356,
-0.0414408,
-0.0428259,
-0.0441976,
-0.0455603,
-0.0469173,
-0.0482708,
-0.0496225,
-0.0509739,
-0.0523258,
-0.0536793,
-0.0550353,
-0.0563989,
-0.0595802,
-0.0746621,
-0.286793,
-5.34687
],
[
3.88571,
0.88643,
0.287928,
0.124531,
1.50823e-05,
-0.03784,
-0.0589332,
-0.0677013,
-0.0719754,
-0.0749894,
-0.0775033,
-0.0797601,
-0.0818638,
-0.0838672,
-0.0858007,
-0.0876833,
-0.0895275,
-0.091342,
-0.0931332,
-0.0949057,
-0.0966629,
-0.0984078,
-0.100143,
-0.101869,
-0.103591,
-0.10711,
-0.116183,
-0.307697,
-5.39729
],
[
3.8884,
0.908044,
0.307311,
0.138412,
2.83535e-06,
-0.050715,
-0.08617,
-0.106342,
-0.115608,
-0.120781,
-0.12455,
-0.127685,
-0.130472,
-0.133042,
-0.135466,
-0.137784,
-0.140024,
-0.142204,
-0.144335,
-0.146427,
-0.148487,
-0.150521,
-0.152532,
-0.154524,
-0.1565,
-0.160437,
-0.16617,
-0.335258,
-5.4505
],
[
3.89082,
0.926232,
0.322971,
0.149194,
4.03403e-07,
-0.0601222,
-0.10727,
-0.140231,
-0.158808,
-0.168065,
-0.173775,
-0.178074,
-0.181674,
-0.184867,
-0.187798,
-0.190546,
-0.193161,
-0.195674,
-0.198109,
-0.20048,
-0.202799,
-0.205074,
-0.207313,
-0.209521,
-0.211703,
-0.216008,
-0.220686,
-0.366938,
-5.5041
],
[
3.89292,
0.941569,
0.335791,
0.15778,
4.06486e-08,
-0.0671575,
-0.123323,
-0.167183,
-0.197261,
-0.213873,
-0.222885,
-0.228887,
-0.233547,
-0.237488,
-0.24099,
-0.244199,
-0.247201,
-0.250049,
-0.252779,
-0.255414,
-0.257974,
-0.26047,
-0.262914,
-0.265312,
-0.267673,
-0.272303,
-0.276937,
-0.401686,
-5.55722
],
[
3.89472,
0.954533,
0.34639,
0.164735,
8.77838e-09,
-0.0725965,
-0.135749,
-0.188298,
-0.228838,
-0.255568,
-0.270276,
-0.278963,
-0.285104,
-0.289998,
-0.294181,
-0.297912,
-0.301335,
-0.304534,
-0.307564,
-0.310462,
-0.313255,
-0.315962,
-0.318598,
-0.321173,
-0.323696,
-0.328618,
-0.333437,
-0.43901,
-5.60956
],
[
3.89623,
0.965503,
0.355217,
0.170437,
6.89254e-09,
-0.0769077,
-0.145566,
-0.205003,
-0.254061,
-0.290972,
-0.314184,
-0.327285,
-0.335634,
-0.341813,
-0.346847,
-0.351194,
-0.35509,
-0.358669,
-0.362015,
-0.365182,
-0.368209,
-0.371121,
-0.373941,
-0.376681,
-0.379356,
-0.384542,
-0.38957,
-0.478558,
-5.66097
],
[
3.8975,
0.974785,
0.362609,
0.175155,
6.83834e-09,
-0.0803846,
-0.153446,
-0.218384,
-0.274257,
-0.319727,
-0.352615,
-0.372576,
-0.384389,
-0.392403,
-0.398552,
-0.403653,
-0.4081,
-0.412103,
-0.415789,
-0.419237,
-0.4225,
-0.425617,
-0.428614,
-0.431511,
-0.434326,
-0.439751,
-0.444968,
-0.519953,
-5.71131
],
[
3.89855,
0.982625,
0.368823,
0.179084,
6.92148e-09,
-0.0832236,
-0.159851,
-0.229219,
-0.290561,
-0.342872,
-0.384459,
-0.413156,
-0.430379,
-0.441152,
-0.448836,
-0.454901,
-0.460008,
-0.464497,
-0.468555,
-0.472299,
-0.475805,
-0.479123,
-0.482291,
-0.485336,
-0.488277,
-0.49391,
-0.499286,
-0.562719,
-5.76031
],
[
3.8994,
0.989226,
0.374058,
0.182372,
7.0636e-09,
-0.0855629,
-0.165106,
-0.238075,
-0.303835,
-0.361604,
-0.410191,
-0.447542,
-0.472232,
-0.487242,
-0.497144,
-0.504488,
-0.510415,
-0.515469,
-0.51994,
-0.523998,
-0.527749,
-0.531264,
-0.534591,
-0.537766,
-0.540815,
-0.546611,
-0.552096,
-0.606219,
-5.80752
],
[
3.90061,
0.999346,
0.382191,
0.187452,
7.59089e-09,
-0.0891225,
-0.173062,
-0.251408,
-0.323704,
-0.389417,
-0.447873,
-0.498036,
-0.538169,
-0.566585,
-0.584585,
-0.596233,
-0.604575,
-0.611104,
-0.616534,
-0.621244,
-0.625448,
-0.629281,
-0.632829,
-0.636153,
-0.639295,
-0.645154,
-0.650579,
-0.691643,
-5.8932
],
[
3.90131,
1.00618,
0.387938,
0.19104,
8.62181e-09,
-0.0916127,
-0.178603,
-0.260645,
-0.337373,
-0.408373,
-0.473144,
-0.531022,
-0.581039,
-0.6218,
-0.65194,
-0.671831,
-0.684524,
-0.693226,
-0.699754,
-0.704999,
-0.70942,
-0.713275,
-0.716718,
-0.719849,
-0.722737,
-0.727958,
-0.73263,
-0.76567,
-5.95893
],
[
3.90135,
1.01357,
0.396236,
0.196388,
1.75192e-08,
-0.0954212,
-0.187125,
-0.274867,
-0.358362,
-0.43726,
-0.511072,
-0.579028,
-0.639945,
-0.692357,
-0.734915,
-0.767336,
-0.791163,
-0.808617,
-0.821362,
-0.830385,
-0.836473,
-0.840559,
-0.843462,
-0.845676,
-0.847464,
-0.850288,
-0.852525,
-0.877316,
-6.04936
],
[
3.89796,
1.00324,
0.395638,
0.196839,
7.03296e-08,
-0.0963838,
-0.189688,
-0.279681,
-0.36608,
-0.448501,
-0.526337,
-0.598497,
-0.663143,
-0.717849,
-0.760521,
-0.791817,
-0.814949,
-0.832893,
-0.847515,
-0.859902,
-0.870695,
-0.880286,
-0.888921,
-0.896753,
-0.903867,
-0.91599,
-0.924848,
-0.954456,
-6.12409
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.00169,
"miller_cap_rise": 0.002814,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.01014028125
],
"index_3": [
0.0178458,
0.02806522,
0.03866672,
0.05091561,
0.07367636
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.01
],
"index_2": [
0.03042084375
],
"index_3": [
0.02400906,
0.04659175,
0.06993827,
0.09631482,
0.1419201
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.01014028125
],
"index_3": [
0.08011275,
0.09437131,
0.1052538,
0.1174556,
0.1401522
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.03042084375
],
"index_3": [
0.08923684,
0.1131441,
0.1365014,
0.16288,
0.2084667
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00306189375
],
"index_3": [
0.03637995,
0.05518106,
0.07599587,
0.09998866,
0.1389257
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.01
],
"index_2": [
0.00918568125
],
"index_3": [
0.04415085,
0.0759529,
0.1111146,
0.1513397,
0.2141955
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00306189375
],
"index_3": [
0.1049673,
0.1238127,
0.1445655,
0.1685985,
0.207664
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00918568125
],
"index_3": [
0.1129272,
0.1447855,
0.1798203,
0.2200487,
0.2826838
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.96640625
],
"index_2": [
1.40004874604988
],
"index_3": [
0.01014028125
],
"index_4": [
0.7025942,
0.7584615,
0.9485911,
1.142134,
1.237146
],
"values": [
1.1867744,
0.93883904,
0.7735488,
0.93883904,
1.1867744
]
},
{
"index_1": [
1.08828125
],
"index_2": [
0.616230543819928
],
"index_3": [
0.01014028125
],
"index_4": [
0.312259,
0.3450639,
0.457342,
0.5574133,
0.6105299
],
"values": [
1.16754905,
0.90807848,
0.7350981,
0.90807848,
1.16754905
]
},
{
"index_1": [
1.20390625
],
"index_2": [
0.360010984403489
],
"index_3": [
0.01014028125
],
"index_4": [
0.1843392,
0.2077503,
0.2865466,
0.3497933,
0.3885929
],
"values": [
1.1593019,
0.89488304,
0.7186038,
0.89488304,
1.1593019
]
},
{
"index_1": [
0.96640625
],
"index_2": [
0.70002437302494
],
"index_3": [
0.01014028125
],
"index_4": [
0.3584783,
0.389681,
0.5040321,
0.5929427,
0.6463399
],
"values": [
1.39085735,
1.26537176,
1.1817147,
1.26537176,
1.39085735
]
},
{
"index_1": [
1.20390625
],
"index_2": [
0.180005492201745
],
"index_3": [
0.01014028125
],
"index_4": [
0.09618002,
0.1095707,
0.151236,
0.1843516,
0.2122017
],
"values": [
1.3865044,
1.25840704,
1.1730088,
1.25840704,
1.3865044
]
},
{
"index_1": [
1.08828125
],
"index_2": [
0.616230543819928
],
"index_3": [
0.03042084375
],
"index_4": [
0.3144181,
0.3482214,
0.4512191,
0.5762291,
0.6451443
],
"values": [
1.3988978,
1.27823648,
1.1977956,
1.27823648,
1.3988978
]
},
{
"index_1": [
1.20390625
],
"index_2": [
0.360010984403489
],
"index_3": [
0.03042084375
],
"index_4": [
0.1855302,
0.2095245,
0.2966412,
0.3634507,
0.4232859
],
"values": [
1.39591295,
1.27346072,
1.1918259,
1.27346072,
1.39591295
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.14921875
],
"index_2": [
2
],
"index_3": [
0.00306189375
],
"index_4": [
1.009891,
1.082215,
1.252042,
1.351379,
1.397164
],
"values": [
0.28095955,
0.44953528,
0.5619191,
0.44953528,
0.28095955
]
},
{
"index_1": [
1.26015625
],
"index_2": [
1.59213690579483
],
"index_3": [
0.00306189375
],
"index_4": [
0.7986025,
0.866441,
1.041689,
1.142066,
1.185616
],
"values": [
0.4575929,
0.73214864,
0.9151858,
0.73214864,
0.4575929
]
},
{
"index_1": [
1.35234375
],
"index_2": [
0.986433306308206
],
"index_3": [
0.00306189375
],
"index_4": [
0.4986575,
0.548327,
0.6784265,
0.7424883,
0.7732057
],
"values": [
0.4782037,
0.76512592,
0.9564074,
0.76512592,
0.4782037
]
},
{
"index_1": [
1.14921875
],
"index_2": [
1.65983899273346
],
"index_3": [
0.00306189375
],
"index_4": [
0.8433247,
0.9051898,
1.039593,
1.126044,
1.164221
],
"values": [
0.23925535,
0.38280856,
0.4785107,
0.38280856,
0.23925535
]
},
{
"index_1": [
1.35234375
],
"index_2": [
0.493216653154103
],
"index_3": [
0.00306189375
],
"index_4": [
0.259738,
0.2882055,
0.3438456,
0.377402,
0.3936301
],
"values": [
0.2585251,
0.41364016,
0.5170502,
0.41364016,
0.2585251
]
},
{
"index_1": [
1.26015625
],
"index_2": [
1.59213690579483
],
"index_3": [
0.00918568125
],
"index_4": [
0.8037676,
0.8753291,
1.050551,
1.152637,
1.197294
],
"values": [
0.29768295,
0.47629272,
0.5953659,
0.47629272,
0.29768295
]
},
{
"index_1": [
1.35234375
],
"index_2": [
0.986433306308206
],
"index_3": [
0.00918568125
],
"index_4": [
0.5026691,
0.5544353,
0.6780348,
0.7486816,
0.7807123
],
"values": [
0.3053212,
0.48851392,
0.6106424,
0.48851392,
0.3053212
]
}
]
},
"stage_type": "both"
},
"cell_fall delay_template13x16": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00836,
0.01045,
0.01306,
0.01632,
0.0204,
0.0255,
0.03187,
0.03984,
0.0498,
0.06225,
0.07781,
0.09726,
0.12158,
0.15197,
0.18996
],
"values": [
[
0.01877,
0.03194,
0.03513,
0.03914,
0.04414,
0.05041,
0.05824,
0.06794,
0.08011,
0.09536,
0.11433,
0.138,
0.16771,
0.20489,
0.25116,
0.30895
],
[
0.02163,
0.03483,
0.03803,
0.04208,
0.04708,
0.05336,
0.06112,
0.07088,
0.08305,
0.09829,
0.11722,
0.14103,
0.17072,
0.20769,
0.25401,
0.31212
],
[
0.02508,
0.03833,
0.04156,
0.04554,
0.05059,
0.05683,
0.06467,
0.07437,
0.08654,
0.10181,
0.12077,
0.14455,
0.17426,
0.21134,
0.2577,
0.31541
],
[
0.03012,
0.04358,
0.04683,
0.05085,
0.05592,
0.06219,
0.06989,
0.0797,
0.09188,
0.10711,
0.12607,
0.14988,
0.17944,
0.21666,
0.26287,
0.32104
],
[
0.03619,
0.05163,
0.05484,
0.05884,
0.06385,
0.07012,
0.07794,
0.08765,
0.09986,
0.1151,
0.13409,
0.15791,
0.18758,
0.22457,
0.27095,
0.32901
],
[
0.04343,
0.06263,
0.06643,
0.07086,
0.07596,
0.08216,
0.09006,
0.09983,
0.11197,
0.12725,
0.14623,
0.17,
0.19965,
0.23685,
0.28314,
0.34115
],
[
0.05218,
0.07605,
0.08071,
0.0862,
0.09252,
0.09981,
0.10817,
0.11801,
0.13017,
0.14537,
0.16443,
0.18819,
0.21773,
0.25499,
0.30126,
0.3592
],
[
0.06251,
0.09232,
0.09819,
0.10488,
0.11276,
0.12171,
0.13203,
0.14378,
0.15727,
0.17269,
0.19168,
0.21542,
0.24498,
0.28221,
0.3285,
0.38653
],
[
0.07447,
0.11187,
0.11915,
0.12762,
0.13723,
0.1485,
0.16124,
0.1757,
0.1923,
0.21106,
0.23233,
0.25657,
0.2862,
0.32329,
0.36962,
0.42726
],
[
0.08786,
0.13517,
0.14425,
0.15487,
0.16694,
0.18076,
0.1967,
0.21429,
0.2351,
0.25814,
0.2843,
0.31375,
0.34699,
0.38483,
0.43116,
0.48903
],
[
0.10199,
0.16221,
0.17373,
0.18685,
0.20226,
0.21973,
0.23941,
0.26172,
0.28663,
0.31586,
0.34799,
0.38433,
0.42499,
0.47092,
0.52252,
0.58142
],
[
0.11513,
0.19257,
0.20737,
0.22417,
0.24337,
0.26517,
0.28977,
0.31797,
0.34917,
0.38547,
0.42547,
0.47037,
0.52077,
0.57717,
0.64057,
0.71117
],
[
0.1239,
0.2249,
0.2436,
0.2653,
0.2898,
0.3173,
0.3493,
0.384,
0.4244,
0.4686,
0.519,
0.575,
0.6373,
0.7071,
0.7852,
0.8726
]
]
},
"cell_rise delay_template13x16": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00836,
0.01045,
0.01306,
0.01632,
0.0204,
0.0255,
0.03187,
0.03984,
0.0498,
0.06225,
0.07781,
0.09726,
0.12158,
0.15197,
0.18996
],
"values": [
[
0.053,
0.10238,
0.11419,
0.12881,
0.14698,
0.16948,
0.19765,
0.23269,
0.27633,
0.33082,
0.39902,
0.48397,
0.58976,
0.72259,
0.8886,
1.09577
],
[
0.0555,
0.10529,
0.11726,
0.13196,
0.15028,
0.17278,
0.20114,
0.23623,
0.2799,
0.33452,
0.40282,
0.48785,
0.59358,
0.72651,
0.89249,
1.09974
],
[
0.05908,
0.10884,
0.12083,
0.13562,
0.15403,
0.17664,
0.20511,
0.24041,
0.28395,
0.33859,
0.40716,
0.49196,
0.59803,
0.73101,
0.89704,
1.10452
],
[
0.06522,
0.11453,
0.12665,
0.1415,
0.1599,
0.18288,
0.21111,
0.24627,
0.29054,
0.3454,
0.41357,
0.49829,
0.60516,
0.73813,
0.90324,
1.11018
],
[
0.07512,
0.12393,
0.13589,
0.15065,
0.16929,
0.19221,
0.22056,
0.25599,
0.30017,
0.35499,
0.42305,
0.50808,
0.61415,
0.74684,
0.9138,
1.12091
],
[
0.09062,
0.1385,
0.15055,
0.16533,
0.18376,
0.2066,
0.23522,
0.27055,
0.31442,
0.36957,
0.43792,
0.52237,
0.62945,
0.76185,
0.92712,
1.13542
],
[
0.11445,
0.16163,
0.1735,
0.18813,
0.2064,
0.22935,
0.25754,
0.2929,
0.33715,
0.39192,
0.46042,
0.54597,
0.65212,
0.78439,
0.95063,
1.15683
],
[
0.14825,
0.19722,
0.2089,
0.22341,
0.24146,
0.26405,
0.29245,
0.32796,
0.37117,
0.42708,
0.49517,
0.57995,
0.68671,
0.81871,
0.98426,
1.19126
],
[
0.1928,
0.25131,
0.26274,
0.27703,
0.2949,
0.31752,
0.34566,
0.38039,
0.42429,
0.47899,
0.54741,
0.6316,
0.73813,
0.87075,
1.03465,
1.24535
],
[
0.25196,
0.32749,
0.3418,
0.35805,
0.37642,
0.39854,
0.42618,
0.46116,
0.50478,
0.55868,
0.62586,
0.71029,
0.81639,
0.94989,
1.11949,
1.32729
],
[
0.33074,
0.42874,
0.44692,
0.46752,
0.49162,
0.51852,
0.54862,
0.58282,
0.62582,
0.67922,
0.74872,
0.83392,
0.93942,
1.07242,
1.23952,
1.44682
],
[
0.43537,
0.56357,
0.58717,
0.61417,
0.64477,
0.67927,
0.71817,
0.76127,
0.80937,
0.86297,
0.93147,
1.01587,
1.12157,
1.25547,
1.42117,
1.62797
],
[
0.5763,
0.7432,
0.774,
0.8091,
0.8488,
0.8935,
0.9439,
0.9997,
1.062,
1.1305,
1.207,
1.2924,
1.3977,
1.5288,
1.6942,
1.9013
]
]
},
"fall_transition delay_template13x16": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00836,
0.01045,
0.01306,
0.01632,
0.0204,
0.0255,
0.03187,
0.03984,
0.0498,
0.06225,
0.07781,
0.09726,
0.12158,
0.15197,
0.18996
],
"values": [
[
0.01403,
0.03136,
0.0357,
0.04111,
0.04786,
0.05627,
0.06674,
0.07992,
0.09639,
0.11682,
0.14252,
0.17464,
0.21477,
0.26481,
0.32737,
0.40579
],
[
0.01397,
0.03139,
0.03571,
0.04107,
0.04785,
0.05625,
0.06681,
0.07989,
0.09636,
0.11688,
0.1426,
0.17467,
0.21448,
0.26482,
0.3275,
0.40567
],
[
0.01432,
0.03135,
0.03569,
0.0411,
0.0478,
0.05627,
0.06675,
0.07991,
0.09635,
0.11683,
0.14253,
0.17453,
0.21477,
0.26486,
0.32728,
0.40577
],
[
0.01601,
0.03157,
0.03572,
0.04105,
0.04778,
0.0562,
0.06679,
0.07987,
0.09632,
0.11683,
0.14258,
0.17466,
0.21473,
0.26469,
0.32749,
0.40558
],
[
0.01908,
0.03345,
0.03728,
0.04217,
0.04841,
0.05643,
0.06671,
0.0799,
0.09634,
0.11684,
0.14258,
0.17452,
0.21459,
0.26487,
0.32749,
0.40543
],
[
0.02278,
0.03818,
0.04159,
0.04591,
0.05157,
0.05889,
0.0684,
0.08063,
0.09652,
0.11677,
0.14251,
0.17456,
0.21475,
0.26461,
0.32741,
0.40553
],
[
0.02808,
0.04578,
0.04959,
0.05398,
0.05906,
0.06546,
0.07397,
0.08503,
0.09967,
0.11859,
0.14299,
0.17442,
0.21471,
0.26478,
0.32746,
0.40576
],
[
0.0355,
0.05578,
0.05995,
0.06506,
0.0709,
0.07794,
0.08602,
0.09586,
0.10882,
0.12587,
0.14822,
0.17751,
0.21566,
0.26458,
0.32746,
0.4053
],
[
0.0457,
0.06939,
0.07429,
0.07995,
0.08675,
0.09455,
0.10387,
0.11476,
0.12741,
0.14262,
0.16254,
0.18888,
0.22355,
0.26932,
0.32875,
0.40575
],
[
0.05975,
0.08778,
0.09359,
0.10004,
0.10789,
0.11713,
0.12765,
0.14037,
0.15439,
0.17137,
0.19097,
0.2148,
0.24555,
0.28657,
0.34106,
0.41249
],
[
0.07924,
0.11281,
0.11951,
0.12755,
0.13654,
0.14702,
0.15957,
0.17392,
0.19094,
0.20956,
0.23199,
0.25805,
0.28849,
0.3256,
0.37355,
0.43813
],
[
0.10674,
0.14698,
0.15478,
0.16429,
0.17496,
0.18764,
0.2027,
0.2193,
0.2391,
0.2608,
0.2865,
0.3163,
0.3509,
0.391,
0.4379,
0.496
],
[
0.1459,
0.194,
0.2036,
0.2146,
0.2275,
0.2428,
0.2594,
0.28,
0.3023,
0.3292,
0.3588,
0.3935,
0.4334,
0.4795,
0.5328,
0.595
]
]
},
"related_pin": "A",
"rise_transition delay_template13x16": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00836,
0.01045,
0.01306,
0.01632,
0.0204,
0.0255,
0.03187,
0.03984,
0.0498,
0.06225,
0.07781,
0.09726,
0.12158,
0.15197,
0.18996
],
"values": [
[
0.04504,
0.10897,
0.125,
0.14503,
0.17008,
0.20124,
0.24039,
0.29001,
0.35107,
0.42706,
0.52281,
0.64269,
0.79146,
0.97811,
1.21193,
1.50339
],
[
0.04503,
0.10903,
0.12499,
0.14508,
0.17054,
0.20134,
0.2407,
0.29001,
0.35207,
0.42708,
0.52282,
0.64216,
0.79277,
0.97814,
1.21194,
1.50329
],
[
0.04513,
0.10917,
0.12537,
0.14498,
0.17017,
0.20118,
0.2407,
0.28965,
0.35141,
0.42773,
0.52281,
0.6421,
0.79259,
0.97821,
1.21194,
1.50335
],
[
0.0451,
0.1091,
0.12527,
0.14535,
0.17053,
0.20172,
0.24063,
0.28993,
0.35107,
0.42801,
0.5228,
0.64286,
0.79188,
0.97804,
1.21278,
1.50367
],
[
0.04504,
0.10913,
0.12511,
0.14563,
0.17051,
0.20152,
0.24078,
0.28968,
0.35088,
0.42858,
0.52271,
0.64217,
0.79263,
0.97836,
1.21192,
1.50313
],
[
0.04559,
0.10917,
0.1253,
0.14533,
0.1702,
0.20155,
0.24083,
0.29005,
0.35067,
0.42739,
0.52419,
0.6421,
0.79161,
0.97827,
1.21243,
1.50278
],
[
0.05015,
0.10934,
0.12532,
0.14518,
0.17039,
0.2018,
0.24068,
0.28957,
0.35143,
0.42752,
0.52291,
0.64269,
0.7921,
0.97826,
1.21159,
1.50467
],
[
0.06122,
0.11224,
0.12694,
0.14587,
0.17035,
0.20142,
0.24085,
0.28976,
0.35105,
0.42761,
0.5226,
0.64262,
0.79229,
0.9779,
1.21188,
1.5051
],
[
0.08078,
0.12378,
0.13666,
0.15335,
0.17581,
0.20414,
0.24163,
0.28956,
0.35089,
0.42735,
0.52316,
0.64198,
0.79256,
0.97833,
1.2114,
1.50532
],
[
0.10727,
0.14961,
0.15985,
0.17378,
0.19269,
0.2179,
0.25163,
0.29528,
0.35346,
0.42758,
0.52247,
0.64195,
0.79125,
0.97879,
1.21163,
1.5052
],
[
0.14361,
0.19524,
0.20548,
0.21736,
0.2315,
0.25197,
0.28046,
0.31876,
0.3706,
0.439,
0.5262,
0.643,
0.7912,
0.9776,
1.2122,
1.503
],
[
0.195,
0.2576,
0.2699,
0.284,
0.3005,
0.3197,
0.3416,
0.3725,
0.4161,
0.4753,
0.554,
0.6603,
0.7978,
0.9803,
1.2115,
1.5023
],
[
0.2645,
0.3431,
0.3581,
0.3757,
0.3957,
0.4188,
0.445,
0.4758,
0.5099,
0.5568,
0.6231,
0.7145,
0.8386,
1.005,
1.2233,
1.5062
]
]
},
"timing_sense": "negative_unate"
},
{
"ccsn_first_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"index_2": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"values": [
[
4.63224,
0.758636,
0.691842,
0.6658,
0.635032,
0.617509,
0.598477,
0.577916,
0.555816,
0.532178,
0.507006,
0.480306,
0.452088,
0.422361,
0.391137,
0.358431,
0.324255,
0.288626,
0.251558,
0.213071,
0.173182,
0.131912,
0.0892771,
0.0452995,
-1.98145e-08,
-0.0906501,
-0.180096,
-0.504176,
-5.26587
],
[
4.38136,
0.535157,
0.492835,
0.478922,
0.464167,
0.45635,
0.448138,
0.439415,
0.430009,
0.419665,
0.408009,
0.394594,
0.379043,
0.361141,
0.340791,
0.31795,
0.2926,
0.264736,
0.234358,
0.201474,
0.166094,
0.128235,
0.0879169,
0.0451627,
-3.49313e-08,
-0.0930004,
-0.187345,
-0.533401,
-5.2778
],
[
4.16741,
0.34028,
0.309179,
0.300128,
0.290831,
0.286062,
0.281191,
0.276198,
0.271054,
0.26572,
0.260143,
0.254243,
0.247898,
0.240901,
0.232876,
0.22319,
0.2111,
0.1961,
0.177964,
0.156594,
0.131938,
0.103965,
0.0726565,
0.0380019,
-1.56646e-08,
-0.0825975,
-0.171341,
-0.514784,
-5.27783
],
[
4.0929,
0.27996,
0.243701,
0.236097,
0.228351,
0.224409,
0.220409,
0.216341,
0.212188,
0.207931,
0.203544,
0.198991,
0.194217,
0.189139,
0.183618,
0.177385,
0.169897,
0.160291,
0.147787,
0.131992,
0.112745,
0.0899666,
0.0636048,
0.0336243,
-1.56313e-08,
-0.0756607,
-0.15992,
-0.497409,
-5.27507
],
[
4.02285,
0.264622,
0.180048,
0.17381,
0.16751,
0.164328,
0.161119,
0.157877,
0.154596,
0.151265,
0.147872,
0.144403,
0.140833,
0.13713,
0.133245,
0.129093,
0.124513,
0.11913,
0.112129,
0.102443,
0.0894031,
0.0727398,
0.0523327,
0.0281054,
-1.56649e-08,
-0.0666393,
-0.144761,
-0.472857,
-5.27101
],
[
3.99111,
0.289855,
0.149887,
0.144303,
0.138689,
0.135865,
0.133026,
0.130167,
0.127285,
0.124373,
0.121423,
0.118425,
0.115365,
0.112222,
0.108968,
0.105557,
0.101906,
0.0978384,
0.0928972,
0.0860906,
0.0763113,
0.0629846,
0.0458925,
0.0249241,
-1.54959e-08,
-0.0613264,
-0.135715,
-0.457651,
-5.26847
],
[
3.96229,
0.328013,
0.121416,
0.116455,
0.111507,
0.109029,
0.106545,
0.104053,
0.10155,
0.0990321,
0.096495,
0.0939322,
0.0913352,
0.0886925,
0.0859876,
0.0831953,
0.0802743,
0.0771449,
0.0736124,
0.0691093,
0.0624564,
0.0525652,
0.0389668,
0.0214809,
-1.61429e-08,
-0.0554908,
-0.125692,
-0.440402,
-5.26557
],
[
3.93671,
0.367817,
0.095166,
0.0907054,
0.0864065,
0.0842628,
0.0821215,
0.0799813,
0.0778405,
0.0756971,
0.0735484,
0.0713908,
0.0692199,
0.0670291,
0.0648097,
0.0625487,
0.060226,
0.0578063,
0.0552145,
0.0522332,
0.0481522,
0.0416185,
0.0316247,
0.0178037,
-1.91107e-08,
-0.0491594,
-0.114721,
-0.421077,
-5.26231
],
[
3.91449,
0.40651,
0.0722116,
0.0674886,
0.0638219,
0.0620048,
0.0601965,
0.0583967,
0.0566046,
0.0548191,
0.0530391,
0.0512629,
0.0494883,
0.0477121,
0.0459302,
0.0441366,
0.042322,
0.0404717,
0.0385575,
0.0365112,
0.034088,
0.0304058,
0.0239788,
0.0139366,
-5.16975e-08,
-0.0423768,
-0.102856,
-0.399677,
-5.25869
],
[
3.89557,
0.444788,
0.0564867,
0.0472424,
0.0441704,
0.0426746,
0.0411932,
0.0397261,
0.0382732,
0.0368341,
0.0354086,
0.0339962,
0.032596,
0.031207,
0.0298276,
0.0284555,
0.0270872,
0.0257172,
0.0243359,
0.0229235,
0.0214209,
0.0195536,
0.0162471,
0.009958,
-3.15349e-07,
-0.0352084,
-0.0901835,
-0.376245,
-5.25473
],
[
3.8797,
0.483928,
0.0573892,
0.0305716,
0.027842,
0.0266663,
0.0255103,
0.0243735,
0.023256,
0.0221581,
0.0210799,
0.0200216,
0.0189831,
0.0179644,
0.0169653,
0.0159853,
0.0150236,
0.014079,
0.0131488,
0.012228,
0.0113045,
0.0103313,
0.00900306,
0.0060464,
-3.765e-06,
-0.0277513,
-0.0768319,
-0.350889,
-5.25044
],
[
3.86713,
0.524987,
0.0779954,
0.0194026,
0.0152046,
0.0143461,
0.0135197,
0.0127167,
0.0119374,
0.0111819,
0.0104506,
0.00974373,
0.00906156,
0.00840427,
0.00777202,
0.00716529,
0.00658522,
0.00603064,
0.00550036,
0.00499328,
0.0045071,
0.00403533,
0.00354241,
0.00266889,
-3.74475e-05,
-0.0201836,
-0.0630139,
-0.323836,
-5.24589
],
[
3.85826,
0.568644,
0.111417,
0.0207269,
0.00659266,
0.00596684,
0.00545688,
0.00497525,
0.00451843,
0.00408559,
0.00367622,
0.00328986,
0.00292603,
0.00258445,
0.00226723,
0.00198413,
0.00173055,
0.00149813,
0.00128522,
0.0010906,
0.000912933,
0.000750387,
0.000598813,
0.000422132,
-0.000336675,
-0.0130589,
-0.0492817,
-0.295685,
-5.24138
],
[
3.85339,
0.615185,
0.151011,
0.0403905,
0.00202373,
0.000803811,
0.000403398,
6.18082e-05,
-0.000258121,
-0.00056427,
-0.000860119,
-0.00114753,
-0.00142614,
-0.00168452,
-0.0018696,
-0.00196871,
-0.00204606,
-0.0021135,
-0.00217311,
-0.00222602,
-0.00227314,
-0.0023153,
-0.00235349,
-0.00239147,
-0.00254874,
-0.00886017,
-0.0379024,
-0.268676,
-5.23873
],
[
3.85264,
0.664184,
0.191465,
0.068798,
0.000437356,
-0.00651944,
-0.00821088,
-0.00924074,
-0.0101424,
-0.0109987,
-0.0118331,
-0.0126461,
-0.0134042,
-0.0139837,
-0.0142354,
-0.0143216,
-0.0143787,
-0.0144267,
-0.0144699,
-0.0145099,
-0.0145475,
-0.0145833,
-0.0146177,
-0.0146513,
-0.0147082,
-0.0169296,
-0.0378942,
-0.251643,
-5.24651
],
[
3.85557,
0.713842,
0.228034,
0.0946415,
8.46809e-05,
-0.0233875,
-0.0342459,
-0.0387775,
-0.0417354,
-0.0441658,
-0.0463145,
-0.0482235,
-0.0498034,
-0.0508517,
-0.0513102,
-0.0515033,
-0.0516286,
-0.0517299,
-0.0518194,
-0.0519018,
-0.0519796,
-0.052054,
-0.0521259,
-0.0521958,
-0.052269,
-0.0529058,
-0.0656347,
-0.26077,
-5.28071
],
[
3.86068,
0.761761,
0.258719,
0.115082,
1.70273e-05,
-0.0408389,
-0.069885,
-0.0867177,
-0.095385,
-0.100855,
-0.104952,
-0.108185,
-0.110625,
-0.112177,
-0.112926,
-0.113303,
-0.113555,
-0.113755,
-0.113928,
-0.114085,
-0.11423,
-0.114368,
-0.114499,
-0.114626,
-0.11475,
-0.115086,
-0.121232,
-0.296133,
-5.3409
],
[
3.86644,
0.80622,
0.283976,
0.130972,
3.2407e-06,
-0.0533867,
-0.0971923,
-0.1305,
-0.152431,
-0.165167,
-0.173066,
-0.178469,
-0.182175,
-0.184409,
-0.185547,
-0.186191,
-0.186642,
-0.187,
-0.187307,
-0.187581,
-0.187833,
-0.188068,
-0.188291,
-0.188505,
-0.18871,
-0.189123,
-0.191492,
-0.344878,
-5.41371
],
[
3.87223,
0.846067,
0.304798,
0.143502,
4.76776e-07,
-0.0622113,
-0.116246,
-0.161531,
-0.19726,
-0.222588,
-0.238617,
-0.248519,
-0.254617,
-0.258026,
-0.259823,
-0.260921,
-0.261712,
-0.262346,
-0.262886,
-0.263366,
-0.263802,
-0.264207,
-0.264587,
-0.264948,
-0.265293,
-0.265949,
-0.267037,
-0.398762,
-5.49063
],
[
3.87784,
0.880711,
0.322048,
0.153548,
4.88044e-08,
-0.0687201,
-0.130104,
-0.183701,
-0.228994,
-0.26532,
-0.292124,
-0.309946,
-0.32059,
-0.326276,
-0.329374,
-0.331357,
-0.332815,
-0.333988,
-0.334984,
-0.335863,
-0.336657,
-0.337388,
-0.33807,
-0.338711,
-0.33932,
-0.34046,
-0.341615,
-0.453381,
-5.56733
],
[
3.88313,
0.910253,
0.336405,
0.161707,
9.25737e-09,
-0.0737215,
-0.140625,
-0.200278,
-0.252224,
-0.295944,
-0.330846,
-0.356394,
-0.37265,
-0.381697,
-0.386939,
-0.390456,
-0.393108,
-0.395267,
-0.397109,
-0.398736,
-0.400204,
-0.401552,
-0.402805,
-0.403981,
-0.405093,
-0.40716,
-0.409085,
-0.504119,
-5.63958
],
[
3.88798,
0.935242,
0.348403,
0.1684,
6.91094e-09,
-0.077671,
-0.148855,
-0.2131,
-0.269923,
-0.318781,
-0.35899,
-0.389541,
-0.409667,
-0.421403,
-0.428631,
-0.433713,
-0.437667,
-0.440952,
-0.443802,
-0.446347,
-0.448666,
-0.450813,
-0.452822,
-0.454719,
-0.456521,
-0.459898,
-0.463035,
-0.544971,
-5.70149
],
[
3.89232,
0.956339,
0.358464,
0.173932,
6.84208e-09,
-0.0808473,
-0.155425,
-0.223249,
-0.283787,
-0.33642,
-0.380296,
-0.414001,
-0.436373,
-0.449714,
-0.45822,
-0.464367,
-0.469242,
-0.473349,
-0.476949,
-0.480193,
-0.483174,
-0.485952,
-0.488569,
-0.491055,
-0.493431,
-0.497921,
-0.502135,
-0.573259,
-5.74848
],
[
3.89612,
0.974157,
0.366926,
0.178531,
6.92362e-09,
-0.0834348,
-0.160748,
-0.231418,
-0.294862,
-0.350375,
-0.396929,
-0.432761,
-0.456522,
-0.470858,
-0.480188,
-0.487037,
-0.492528,
-0.497188,
-0.501298,
-0.505018,
-0.508449,
-0.511658,
-0.514691,
-0.51758,
-0.520352,
-0.525609,
-0.53057,
-0.59238,
-5.78238
],
[
3.8994,
0.989226,
0.374058,
0.182372,
7.0636e-09,
-0.0855629,
-0.165106,
-0.238075,
-0.303835,
-0.361604,
-0.410191,
-0.447542,
-0.472232,
-0.487242,
-0.497144,
-0.504488,
-0.510415,
-0.515469,
-0.51994,
-0.523998,
-0.527749,
-0.531264,
-0.534591,
-0.537766,
-0.540815,
-0.546611,
-0.552096,
-0.606219,
-5.80752
],
[
3.90458,
1.01279,
0.385163,
0.188296,
7.5884e-09,
-0.0887924,
-0.171691,
-0.248081,
-0.317247,
-0.378275,
-0.429705,
-0.469048,
-0.494891,
-0.510757,
-0.521403,
-0.5294,
-0.535907,
-0.541485,
-0.546439,
-0.550946,
-0.555122,
-0.559042,
-0.562758,
-0.566309,
-0.569723,
-0.576226,
-0.582392,
-0.626261,
-5.84218
],
[
3.90825,
1.02966,
0.393078,
0.192479,
8.61861e-09,
-0.0910424,
-0.176262,
-0.254999,
-0.326477,
-0.389682,
-0.442951,
-0.483507,
-0.510021,
-0.526402,
-0.537508,
-0.545914,
-0.552785,
-0.558694,
-0.563951,
-0.568743,
-0.573187,
-0.577361,
-0.581321,
-0.585108,
-0.588751,
-0.595694,
-0.602284,
-0.642002,
-5.86475
],
[
3.91349,
1.05518,
0.405141,
0.198824,
1.75155e-08,
-0.0944436,
-0.183185,
-0.265483,
-0.340454,
-0.406917,
-0.462865,
-0.505096,
-0.532516,
-0.549616,
-0.561378,
-0.570371,
-0.577769,
-0.584157,
-0.589857,
-0.595061,
-0.599894,
-0.604438,
-0.608754,
-0.612882,
-0.616857,
-0.624436,
-0.631637,
-0.671738,
-5.89905
],
[
3.91336,
1.05705,
0.407002,
0.199932,
7.03254e-08,
-0.0951884,
-0.184862,
-0.268228,
-0.344345,
-0.411942,
-0.468865,
-0.511736,
-0.539522,
-0.556906,
-0.568917,
-0.57813,
-0.585725,
-0.592292,
-0.598156,
-0.603515,
-0.608493,
-0.613177,
-0.617625,
-0.621883,
-0.625982,
-0.633802,
-0.641235,
-0.682015,
-5.91108
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000977,
"miller_cap_rise": 0.001051,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.01014028125
],
"index_3": [
0.02531523,
0.03569452,
0.04628715,
0.05858927,
0.08121502
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.01
],
"index_2": [
0.03042084375
],
"index_3": [
0.03159503,
0.05420759,
0.07754648,
0.1039006,
0.1495898
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.01014028125
],
"index_3": [
0.08943301,
0.1013777,
0.1121076,
0.1243651,
0.1470646
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.03042084375
],
"index_3": [
0.09701251,
0.1202172,
0.1435453,
0.1699997,
0.2156903
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.0031408125
],
"index_3": [
0.04406965,
0.08322541,
0.1198804,
0.1495376,
0.195243
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0094224375
],
"index_3": [
0.05084051,
0.1030759,
0.1544484,
0.2003612,
0.2697351
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0031408125
],
"index_3": [
0.1127405,
0.1516423,
0.1884779,
0.2182258,
0.263913
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0094224375
],
"index_3": [
0.1203762,
0.1724306,
0.2239306,
0.2698316,
0.3392844
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.95234375
],
"index_2": [
2
],
"index_3": [
0.01014028125
],
"index_4": [
1.025641,
1.090983,
1.315351,
1.596984,
1.718095
],
"values": [
1.15247695,
0.88396312,
0.7049539,
0.88396312,
1.15247695
]
},
{
"index_1": [
1.03984375
],
"index_2": [
0.979152998260249
],
"index_3": [
0.01014028125
],
"index_4": [
0.5070619,
0.5453115,
0.6900726,
0.8575729,
0.9298568
],
"values": [
1.1159763,
0.82556208,
0.6319526,
0.82556208,
1.1159763
]
},
{
"index_1": [
1.12578125
],
"index_2": [
0.563987885970582
],
"index_3": [
0.01014028125
],
"index_4": [
0.2967913,
0.3235613,
0.4099804,
0.529381,
0.5790117
],
"values": [
1.1109898,
0.81758368,
0.6219796,
0.81758368,
1.1109898
]
},
{
"index_1": [
0.95234375
],
"index_2": [
1.0625387578188
],
"index_3": [
0.01014028125
],
"index_4": [
0.5632315,
0.6060979,
0.7500137,
0.8671612,
0.9346505
],
"values": [
1.38674315,
1.25878904,
1.1734863,
1.25878904,
1.38674315
]
},
{
"index_1": [
1.12578125
],
"index_2": [
0.281993942985291
],
"index_3": [
0.01014028125
],
"index_4": [
0.1596395,
0.1767724,
0.2289375,
0.2721198,
0.3050503
],
"values": [
1.38618895,
1.25790232,
1.1723779,
1.25790232,
1.38618895
]
},
{
"index_1": [
1.03984375
],
"index_2": [
0.979152998260249
],
"index_3": [
0.03042084375
],
"index_4": [
0.5115226,
0.5563103,
0.7236325,
0.8734135,
0.963179
],
"values": [
1.3645054,
1.22320864,
1.1290108,
1.22320864,
1.3645054
]
},
{
"index_1": [
1.12578125
],
"index_2": [
0.563987885970582
],
"index_3": [
0.03042084375
],
"index_4": [
0.2998639,
0.330715,
0.4460539,
0.5396224,
0.6087684
],
"values": [
1.36255375,
1.220086,
1.1251075,
1.220086,
1.36255375
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.14765625
],
"index_2": [
2
],
"index_3": [
0.0031408125
],
"index_4": [
1.010495,
1.087708,
1.253884,
1.35875,
1.403164
],
"values": [
0.14592445,
0.23347912,
0.2918489,
0.23347912,
0.14592445
]
},
{
"index_1": [
1.25859375
],
"index_2": [
2
],
"index_3": [
0.0031408125
],
"index_4": [
1.001853,
1.089448,
1.310889,
1.435932,
1.484527
],
"values": [
0.30541675,
0.4886668,
0.6108335,
0.4886668,
0.30541675
]
},
{
"index_1": [
1.35078125
],
"index_2": [
1.61991997389778
],
"index_3": [
0.0031408125
],
"index_4": [
0.8115668,
0.8928919,
1.124032,
1.212293,
1.254134
],
"values": [
0.40855915,
0.65369464,
0.8171183,
0.65369464,
0.40855915
]
},
{
"index_1": [
1.14765625
],
"index_2": [
2
],
"index_3": [
0.0031408125
],
"index_4": [
1.010495,
1.087708,
1.253884,
1.35875,
1.403164
],
"values": [
0.14592445,
0.23347912,
0.2918489,
0.23347912,
0.14592445
]
},
{
"index_1": [
1.35078125
],
"index_2": [
0.80995998694889
],
"index_3": [
0.0031408125
],
"index_4": [
0.413183,
0.4568917,
0.5670394,
0.6140015,
0.637758
],
"values": [
0.2088892,
0.33422272,
0.4177784,
0.33422272,
0.2088892
]
},
{
"index_1": [
1.25859375
],
"index_2": [
2
],
"index_3": [
0.0094224375
],
"index_4": [
1.004556,
1.094387,
1.322054,
1.442653,
1.493193
],
"values": [
0.23604345,
0.37766952,
0.4720869,
0.37766952,
0.23604345
]
},
{
"index_1": [
1.35078125
],
"index_2": [
1.61991997389778
],
"index_3": [
0.0094224375
],
"index_4": [
0.812545,
0.8935567,
1.12003,
1.217764,
1.262416
],
"values": [
0.3095755,
0.4953208,
0.619151,
0.4953208,
0.3095755
]
}
]
},
"stage_type": "both"
},
"cell_fall delay_template13x16": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00836,
0.01045,
0.01306,
0.01632,
0.0204,
0.0255,
0.03187,
0.03984,
0.0498,
0.06225,
0.07781,
0.09726,
0.12158,
0.15197,
0.18996
],
"values": [
[
0.02508,
0.03812,
0.04131,
0.04531,
0.05031,
0.05657,
0.06439,
0.07411,
0.08621,
0.10147,
0.12048,
0.1442,
0.17372,
0.211,
0.25735,
0.31505
],
[
0.02819,
0.04127,
0.04449,
0.04849,
0.05347,
0.0597,
0.06753,
0.07729,
0.08943,
0.10455,
0.12364,
0.14735,
0.17699,
0.2142,
0.26046,
0.31815
],
[
0.03162,
0.04476,
0.04798,
0.05198,
0.05696,
0.06325,
0.07106,
0.08073,
0.09297,
0.10817,
0.12713,
0.15079,
0.1806,
0.21764,
0.26381,
0.32202
],
[
0.03639,
0.04963,
0.05286,
0.05687,
0.0619,
0.06815,
0.07594,
0.08568,
0.09785,
0.11311,
0.13211,
0.15585,
0.18548,
0.22248,
0.269,
0.32693
],
[
0.04251,
0.05661,
0.05984,
0.0639,
0.06891,
0.07516,
0.08298,
0.09275,
0.10489,
0.12015,
0.1391,
0.16295,
0.19256,
0.22965,
0.276,
0.3339
],
[
0.05043,
0.06625,
0.06978,
0.07403,
0.07916,
0.08547,
0.0933,
0.10307,
0.11528,
0.1305,
0.14958,
0.1732,
0.20305,
0.23998,
0.28654,
0.3444
],
[
0.06048,
0.07888,
0.08284,
0.08759,
0.09329,
0.10015,
0.10843,
0.11835,
0.13058,
0.14584,
0.16492,
0.18866,
0.21847,
0.25555,
0.30197,
0.35981
],
[
0.07304,
0.09525,
0.0999,
0.10541,
0.11194,
0.11968,
0.12889,
0.13986,
0.15298,
0.16872,
0.18782,
0.21167,
0.24145,
0.27856,
0.32512,
0.38305
],
[
0.08843,
0.1159,
0.12156,
0.12822,
0.13601,
0.14514,
0.15582,
0.16832,
0.18304,
0.20045,
0.22115,
0.24589,
0.27577,
0.31298,
0.35952,
0.41771
],
[
0.10698,
0.1415,
0.14853,
0.15676,
0.16636,
0.17749,
0.19037,
0.20525,
0.22248,
0.24246,
0.26577,
0.29317,
0.32562,
0.36433,
0.41102,
0.4691
],
[
0.12884,
0.17275,
0.18161,
0.19194,
0.20393,
0.21781,
0.23373,
0.25197,
0.27288,
0.29677,
0.32414,
0.3557,
0.39233,
0.43523,
0.48592,
0.54622
],
[
0.15342,
0.21017,
0.22147,
0.23457,
0.24977,
0.26727,
0.28727,
0.31007,
0.33597,
0.36527,
0.39867,
0.43647,
0.47957,
0.52897,
0.58617,
0.65297
],
[
0.1792,
0.2536,
0.2682,
0.2852,
0.3046,
0.3269,
0.3523,
0.3811,
0.4136,
0.4503,
0.4917,
0.5383,
0.5907,
0.65,
0.7172,
0.7941
]
]
},
"cell_rise delay_template13x16": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00836,
0.01045,
0.01306,
0.01632,
0.0204,
0.0255,
0.03187,
0.03984,
0.0498,
0.06225,
0.07781,
0.09726,
0.12158,
0.15197,
0.18996
],
"values": [
[
0.08685,
0.13298,
0.14434,
0.15851,
0.17611,
0.19805,
0.22536,
0.25945,
0.30205,
0.35522,
0.42161,
0.50454,
0.60808,
0.73747,
0.89909,
1.10107
],
[
0.08971,
0.13615,
0.14751,
0.16172,
0.17936,
0.20128,
0.2287,
0.26284,
0.30551,
0.35871,
0.4251,
0.50795,
0.61149,
0.74092,
0.90256,
1.10454
],
[
0.09353,
0.14017,
0.15155,
0.16579,
0.18341,
0.20543,
0.23289,
0.26704,
0.30973,
0.36294,
0.42939,
0.51233,
0.61591,
0.7453,
0.90694,
1.10892
],
[
0.09967,
0.14638,
0.15785,
0.17209,
0.18979,
0.21183,
0.23933,
0.27357,
0.31628,
0.36951,
0.43595,
0.51885,
0.62245,
0.75189,
0.91355,
1.11557
],
[
0.10933,
0.15613,
0.16765,
0.18192,
0.19965,
0.22171,
0.24919,
0.28348,
0.32626,
0.37959,
0.44605,
0.52899,
0.6325,
0.76225,
0.92388,
1.12591
],
[
0.12456,
0.17125,
0.18264,
0.19692,
0.21464,
0.2369,
0.26439,
0.2987,
0.34149,
0.39481,
0.46129,
0.54422,
0.64768,
0.77758,
0.93922,
1.14122
],
[
0.14808,
0.19448,
0.2059,
0.22004,
0.23773,
0.25982,
0.28725,
0.3218,
0.36468,
0.41801,
0.4845,
0.56748,
0.67105,
0.80044,
0.96203,
1.16383
],
[
0.18378,
0.22993,
0.24119,
0.25536,
0.27324,
0.29492,
0.32272,
0.35693,
0.39932,
0.45269,
0.51915,
0.60202,
0.7055,
0.8348,
0.99616,
1.19786
],
[
0.2376,
0.28366,
0.29512,
0.30923,
0.32683,
0.34861,
0.37569,
0.4096,
0.45195,
0.50473,
0.57055,
0.65304,
0.75635,
0.88835,
1.04995,
1.25185
],
[
0.31028,
0.36511,
0.37649,
0.39025,
0.40731,
0.42865,
0.4552,
0.49094,
0.53354,
0.58669,
0.65309,
0.73609,
0.83959,
0.96909,
1.13039,
1.33209
],
[
0.40685,
0.47692,
0.49142,
0.50842,
0.52822,
0.55122,
0.57832,
0.61192,
0.65382,
0.70622,
0.77422,
0.85672,
0.96012,
1.08942,
1.25102,
1.45292
],
[
0.53487,
0.62637,
0.64517,
0.66717,
0.69267,
0.72237,
0.75627,
0.79437,
0.83847,
0.89097,
0.95697,
1.03947,
1.14257,
1.27157,
1.43277,
1.63447
],
[
0.7072,
0.8249,
0.8492,
0.8776,
0.9107,
0.949,
0.9926,
1.0425,
1.0985,
1.1618,
1.2333,
1.3155,
1.4181,
1.5465,
1.7068,
1.9083
]
]
},
"fall_transition delay_template13x16": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00836,
0.01045,
0.01306,
0.01632,
0.0204,
0.0255,
0.03187,
0.03984,
0.0498,
0.06225,
0.07781,
0.09726,
0.12158,
0.15197,
0.18996
],
"values": [
[
0.01413,
0.03141,
0.03572,
0.04111,
0.04785,
0.05627,
0.06678,
0.07986,
0.09638,
0.11688,
0.14248,
0.17454,
0.21471,
0.26486,
0.32719,
0.40575
],
[
0.01415,
0.03141,
0.03573,
0.04112,
0.04785,
0.05628,
0.0668,
0.07988,
0.09635,
0.11691,
0.14254,
0.17458,
0.21473,
0.26479,
0.32736,
0.40577
],
[
0.01421,
0.03141,
0.03573,
0.04112,
0.04785,
0.05626,
0.06673,
0.07994,
0.09632,
0.11681,
0.14251,
0.17464,
0.21471,
0.26472,
0.32748,
0.40579
],
[
0.01479,
0.03149,
0.03574,
0.04114,
0.04783,
0.05625,
0.06675,
0.07987,
0.09638,
0.11685,
0.14249,
0.17456,
0.21468,
0.26484,
0.32744,
0.40546
],
[
0.01646,
0.03231,
0.03641,
0.0416,
0.04809,
0.0564,
0.0668,
0.07984,
0.09634,
0.11691,
0.14256,
0.17452,
0.21471,
0.26483,
0.32743,
0.4057
],
[
0.01908,
0.03465,
0.03854,
0.04344,
0.0497,
0.05761,
0.06765,
0.08041,
0.0964,
0.11685,
0.14249,
0.17464,
0.21449,
0.26484,
0.32715,
0.40572
],
[
0.02301,
0.03932,
0.04316,
0.04794,
0.05377,
0.06118,
0.0706,
0.08278,
0.0982,
0.11796,
0.14292,
0.17467,
0.21454,
0.26478,
0.32735,
0.40573
],
[
0.02862,
0.04617,
0.05023,
0.05516,
0.06114,
0.06853,
0.07764,
0.08896,
0.10333,
0.12199,
0.14597,
0.17665,
0.21548,
0.26502,
0.32719,
0.4057
],
[
0.0365,
0.05613,
0.06048,
0.06573,
0.07208,
0.07976,
0.08906,
0.1006,
0.11473,
0.13207,
0.15451,
0.18311,
0.22033,
0.26812,
0.32886,
0.40579
],
[
0.04722,
0.07,
0.07487,
0.08069,
0.08761,
0.09591,
0.10583,
0.11777,
0.13242,
0.15021,
0.172,
0.19892,
0.23328,
0.27839,
0.33607,
0.41075
],
[
0.06196,
0.08881,
0.09444,
0.10109,
0.10893,
0.11828,
0.1293,
0.14227,
0.15781,
0.17658,
0.19917,
0.22679,
0.26042,
0.30231,
0.35628,
0.42602
],
[
0.08251,
0.11451,
0.12124,
0.12897,
0.1382,
0.1487,
0.1613,
0.176,
0.1933,
0.2136,
0.2378,
0.2669,
0.302,
0.3448,
0.3973,
0.4621
],
[
0.1117,
0.15,
0.1579,
0.1671,
0.1779,
0.1904,
0.2048,
0.2219,
0.2415,
0.2645,
0.2915,
0.3231,
0.3607,
0.4055,
0.4602,
0.5264
]
]
},
"related_pin": "B",
"rise_transition delay_template13x16": {
"index_1": [
0.01,
0.01735,
0.02602,
0.03903,
0.05855,
0.08782,
0.13172,
0.19757,
0.29634,
0.44449,
0.6667,
1.0,
1.5
],
"index_2": [
0.0,
0.00836,
0.01045,
0.01306,
0.01632,
0.0204,
0.0255,
0.03187,
0.03984,
0.0498,
0.06225,
0.07781,
0.09726,
0.12158,
0.15197,
0.18996
],
"values": [
[
0.06892,
0.13205,
0.14768,
0.16752,
0.19195,
0.22262,
0.26111,
0.30861,
0.36876,
0.44358,
0.53754,
0.6548,
0.80029,
0.98266,
1.21289,
1.49762
],
[
0.06891,
0.13199,
0.14775,
0.16738,
0.19192,
0.22251,
0.26085,
0.30882,
0.36907,
0.44386,
0.53776,
0.65483,
0.80117,
0.98332,
1.21161,
1.49777
],
[
0.0689,
0.13196,
0.14778,
0.16735,
0.19195,
0.22254,
0.26086,
0.30891,
0.36888,
0.44388,
0.53753,
0.65481,
0.80123,
0.98354,
1.21199,
1.49758
],
[
0.06891,
0.13209,
0.14788,
0.16739,
0.19197,
0.22252,
0.26099,
0.30898,
0.36901,
0.44385,
0.53752,
0.6549,
0.79994,
0.9835,
1.21151,
1.49763
],
[
0.06889,
0.13196,
0.1476,
0.16743,
0.19183,
0.22267,
0.26088,
0.30896,
0.36909,
0.44384,
0.53746,
0.65442,
0.80079,
0.9825,
1.21021,
1.49705
],
[
0.06887,
0.13205,
0.14766,
0.16734,
0.19201,
0.22253,
0.26081,
0.30894,
0.36896,
0.44399,
0.53744,
0.65433,
0.80132,
0.98364,
1.21085,
1.4988
],
[
0.06897,
0.13199,
0.14778,
0.16746,
0.19188,
0.22243,
0.26087,
0.30898,
0.36885,
0.44354,
0.53683,
0.65479,
0.80075,
0.9833,
1.21123,
1.49509
],
[
0.07294,
0.13224,
0.14781,
0.16746,
0.19193,
0.22261,
0.26093,
0.30894,
0.36897,
0.44395,
0.53697,
0.65405,
0.8014,
0.98306,
1.21229,
1.49785
],
[
0.08529,
0.13807,
0.15232,
0.17018,
0.1935,
0.22313,
0.26118,
0.30893,
0.36914,
0.4435,
0.53755,
0.65472,
0.80026,
0.98308,
1.21227,
1.49737
],
[
0.11027,
0.15632,
0.16875,
0.18479,
0.20569,
0.23253,
0.26744,
0.31188,
0.36962,
0.44382,
0.53757,
0.65413,
0.8004,
0.98391,
1.21259,
1.4962
],
[
0.14533,
0.19627,
0.20649,
0.21961,
0.23739,
0.26039,
0.29069,
0.33073,
0.3832,
0.4516,
0.54,
0.6541,
0.8011,
0.9833,
1.2135,
1.4955
],
[
0.194,
0.2562,
0.2687,
0.2833,
0.2998,
0.319,
0.3436,
0.3783,
0.4232,
0.4834,
0.5632,
0.668,
0.8057,
0.9829,
1.2126,
1.4967
],
[
0.2591,
0.3392,
0.3544,
0.3727,
0.3925,
0.4158,
0.4432,
0.4735,
0.5097,
0.5597,
0.6276,
0.72,
0.8432,
1.007,
1.2201,
1.4968
]
]
},
"timing_sense": "negative_unate"
}
]
}
}