blob: 75b8d2014c9eab7d8219d79cd03b34d089c0f164 [file] [log] [blame]
{
"description": "Negative edge triggered D flip-flop (Q output UDP) with both active high reset and set (set dominate).",
"file_prefix": "sky130_fd_sc_hdll__udp_dff_nsr",
"library": "sky130_fd_sc_hdll",
"name": "udp_dff$NSR",
"parameters": [],
"ports": [
[
"signal",
"Q",
"output",
""
],
[
"signal",
"SET",
"input",
""
],
[
"signal",
"RESET",
"input",
""
],
[
"signal",
"CLK_N",
"input",
""
],
[
"signal",
"D",
"input",
""
]
],
"type": "primitive",
"verilog_name": "sky130_fd_sc_hdll__udp_dff$NSR"
}