blob: 92f02559e443a89eb0054cb749a2f1f2511e1079 [file] [log] [blame]
{
"area": 5.0048,
"cell_footprint": "inv",
"cell_leakage_power": 0.00269004,
"leakage_power": [
{
"value": 0.0053373,
"when": "A"
},
{
"value": 4.27808e-05,
"when": "!A"
}
],
"pg_pin VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VNB",
"voltage_name": "VGND"
},
"pg_pin VNB": {
"pg_type": "pwell",
"voltage_name": "VNB"
},
"pg_pin VPB": {
"pg_type": "nwell",
"voltage_name": "VPB"
},
"pg_pin VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VPB",
"voltage_name": "VPWR"
},
"pin A": {
"capacitance": 0.004542,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.004278,
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.004807
},
"pin Y": {
"direction": "output",
"function": "(!A)",
"internal_power": {
"fall_power power_outputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00145546,
0.004236724,
0.01233276,
0.03589967,
0.104501,
0.304194
],
"values": [
[
-0.0051807,
-0.0067429,
-0.0116331,
-0.0266876,
-0.0713307,
-0.2016815,
-0.5814373
],
[
-0.0057021,
-0.0072797,
-0.012088,
-0.02695,
-0.0714398,
-0.2017354,
-0.5813467
],
[
-0.0060205,
-0.0076668,
-0.0125071,
-0.027339,
-0.0715893,
-0.2017711,
-0.581486
],
[
-0.0054752,
-0.0072985,
-0.0122808,
-0.0277713,
-0.0718646,
-0.2018691,
-0.5813879
],
[
-0.0038005,
-0.0058226,
-0.011348,
-0.027098,
-0.0720083,
-0.2017799,
-0.5813226
],
[
0.0010326,
-0.0015347,
-0.0078212,
-0.0244181,
-0.0696397,
-0.201223,
-0.5812053
],
[
0.0119208,
0.0095243,
0.0025481,
-0.0155872,
-0.0640119,
-0.1975825,
-0.5794886
]
]
},
"related_pin": "A",
"rise_power power_outputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00145546,
0.004236724,
0.01233276,
0.03589967,
0.104501,
0.304194
],
"values": [
[
0.0151072,
0.0171982,
0.022909,
0.0388815,
0.0838213,
0.2131998,
0.585678
],
[
0.0148272,
0.0167613,
0.0223886,
0.0383714,
0.0834815,
0.2137626,
0.5855323
],
[
0.0147918,
0.0169613,
0.0222292,
0.0377613,
0.0828154,
0.2134344,
0.5856107
],
[
0.0151987,
0.0170102,
0.0222731,
0.0377277,
0.0821349,
0.2127812,
0.5851927
],
[
0.0167658,
0.0183585,
0.0232973,
0.0381296,
0.0820076,
0.211017,
0.5865493
],
[
0.0198636,
0.0212655,
0.0257297,
0.0403449,
0.0851331,
0.2129183,
0.583947
],
[
0.0348164,
0.0361711,
0.0399799,
0.0526581,
0.0939396,
0.2184001,
0.5868154
]
]
}
},
"max_capacitance": 0.304194,
"max_transition": 1.495426,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": {
"ccsn_first_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"index_2": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"values": [
[
8.58758,
1.31678,
1.06921,
1.02506,
0.972567,
0.943027,
0.911283,
0.877354,
0.841266,
0.80305,
0.762739,
0.720369,
0.675979,
0.629606,
0.58129,
0.53107,
0.478986,
0.425078,
0.369386,
0.31195,
0.25281,
0.192005,
0.129576,
0.0655613,
-8.26958e-09,
-0.129223,
-0.252762,
-0.650372,
-4.13229
],
[
8.30732,
1.07164,
0.868156,
0.847056,
0.822681,
0.808775,
0.793329,
0.775933,
0.756108,
0.733394,
0.707451,
0.678085,
0.645229,
0.608891,
0.56912,
0.525983,
0.479558,
0.429926,
0.377173,
0.321386,
0.262652,
0.201059,
0.136695,
0.0696462,
-3.08878e-08,
-0.139007,
-0.273157,
-0.705324,
-4.14251
],
[
8.06657,
0.841848,
0.635888,
0.622473,
0.607851,
0.599949,
0.591548,
0.582538,
0.572768,
0.562031,
0.550028,
0.536323,
0.520286,
0.501096,
0.477938,
0.450282,
0.417939,
0.380913,
0.339286,
0.293174,
0.242705,
0.188014,
0.129239,
0.0665217,
-1.69872e-09,
-0.136131,
-0.270874,
-0.711239,
-4.14382
],
[
7.98638,
0.811457,
0.541844,
0.530439,
0.518196,
0.511674,
0.504819,
0.497564,
0.489823,
0.481476,
0.472358,
0.46223,
0.450736,
0.437329,
0.421189,
0.401264,
0.376643,
0.346904,
0.311977,
0.271939,
0.226915,
0.177051,
0.122505,
0.0634338,
-1.68879e-09,
-0.131894,
-0.264624,
-0.704031,
-4.1431
],
[
7.89959,
0.813022,
0.442022,
0.432456,
0.422353,
0.417039,
0.411509,
0.405727,
0.39964,
0.393184,
0.386269,
0.378771,
0.370513,
0.361223,
0.350477,
0.337571,
0.321382,
0.300556,
0.274238,
0.242202,
0.204495,
0.161252,
0.112637,
0.0588256,
-1.66683e-09,
-0.12519,
-0.254217,
-0.689728,
-4.14149
],
[
7.85454,
0.815973,
0.391132,
0.382129,
0.373032,
0.368274,
0.363347,
0.358223,
0.352862,
0.347218,
0.341225,
0.334795,
0.327805,
0.320071,
0.311309,
0.301053,
0.28849,
0.272262,
0.250885,
0.223627,
0.190371,
0.151212,
0.106309,
0.0558415,
-1.59583e-09,
-0.120724,
-0.247129,
-0.679295,
-4.14026
],
[
7.80907,
0.819177,
0.342769,
0.331557,
0.323431,
0.319207,
0.314853,
0.310346,
0.305659,
0.300758,
0.295597,
0.290113,
0.284222,
0.2778,
0.270663,
0.262514,
0.252841,
0.240674,
0.224353,
0.202344,
0.174092,
0.139578,
0.0989356,
0.0523446,
-1.20441e-08,
-0.11541,
-0.238598,
-0.666296,
-4.13871
],
[
7.76376,
0.824094,
0.305851,
0.28139,
0.274166,
0.270456,
0.266648,
0.262726,
0.258671,
0.254457,
0.250052,
0.245415,
0.240488,
0.235189,
0.2294,
0.222938,
0.215496,
0.206506,
0.194762,
0.178253,
0.15553,
0.126238,
0.0904374,
0.0482925,
-2.4229e-07,
-0.109169,
-0.22848,
-0.650423,
-4.1368
],
[
7.71933,
0.831116,
0.289008,
0.232937,
0.225957,
0.222747,
0.219465,
0.2161,
0.212639,
0.209065,
0.205357,
0.201485,
0.197412,
0.193086,
0.188433,
0.183341,
0.177633,
0.170998,
0.162787,
0.151438,
0.134616,
0.111108,
0.0807443,
0.0436439,
-5.49266e-06,
-0.101932,
-0.216643,
-0.631359,
-4.13449
],
[
7.67657,
0.840921,
0.288444,
0.190782,
0.17964,
0.176908,
0.174131,
0.171298,
0.168398,
0.165421,
0.162352,
0.159174,
0.155862,
0.152385,
0.148696,
0.144731,
0.140391,
0.135509,
0.129774,
0.12241,
0.111342,
0.0940724,
0.069725,
0.0382754,
-0.00011751,
-0.0937468,
-0.203086,
-0.608947,
-4.13202
],
[
7.63641,
0.854241,
0.297201,
0.165352,
0.136162,
0.133738,
0.131378,
0.128982,
0.126543,
0.124054,
0.121505,
0.118885,
0.116182,
0.113374,
0.110438,
0.107336,
0.104015,
0.10039,
0.0963145,
0.0914769,
0.0848909,
0.0738409,
0.0559323,
0.0306448,
-0.00194884,
-0.0863461,
-0.189655,
-0.585399,
-4.13311
],
[
7.59987,
0.871935,
0.312303,
0.160581,
0.0965803,
0.0928393,
0.0902565,
0.0876834,
0.085086,
0.082456,
0.0797876,
0.0770747,
0.0743098,
0.071483,
0.0685812,
0.0655858,
0.0624704,
0.0591948,
0.0556937,
0.0518459,
0.0473403,
0.0408404,
0.0289834,
0.00977113,
-0.0170265,
-0.0923026,
-0.189959,
-0.577592,
-4.16192
],
[
7.56816,
0.89495,
0.333192,
0.168833,
0.0620719,
0.0491001,
0.0441754,
0.0398621,
0.0356571,
0.0314804,
0.0273063,
0.0231225,
0.0189212,
0.0146957,
0.0104388,
0.00614177,
0.00179279,
-0.00262524,
-0.00713873,
-0.0117923,
-0.016677,
-0.0221088,
-0.0299548,
-0.044022,
-0.0657164,
-0.133232,
-0.226822,
-0.612259,
-4.25342
],
[
7.54275,
0.924624,
0.360371,
0.184494,
0.0340168,
-0.00162706,
-0.0138855,
-0.0213797,
-0.0279948,
-0.0343023,
-0.0404647,
-0.0465475,
-0.0525834,
-0.058591,
-0.0645824,
-0.070567,
-0.0765529,
-0.0825487,
-0.0885653,
-0.0946184,
-0.100735,
-0.106974,
-0.11367,
-0.123393,
-0.139928,
-0.199128,
-0.288244,
-0.671681,
-4.37768
],
[
7.52592,
0.962786,
0.395119,
0.206021,
0.0141914,
-0.0487032,
-0.0758665,
-0.0881426,
-0.0973626,
-0.105608,
-0.113408,
-0.120962,
-0.128365,
-0.135666,
-0.142896,
-0.150074,
-0.157214,
-0.164327,
-0.171419,
-0.178501,
-0.185582,
-0.192677,
-0.199822,
-0.207426,
-0.218815,
-0.267581,
-0.350082,
-0.726956,
-4.49292
],
[
7.52059,
1.01145,
0.438632,
0.233449,
0.00396803,
-0.0840969,
-0.131268,
-0.15085,
-0.163172,
-0.173362,
-0.182634,
-0.191415,
-0.199896,
-0.208181,
-0.216327,
-0.224372,
-0.232341,
-0.240251,
-0.248115,
-0.255944,
-0.263748,
-0.271536,
-0.279322,
-0.287137,
-0.29583,
-0.332814,
-0.406787,
-0.773498,
-4.59284
],
[
7.52563,
1.0686,
0.48816,
0.263936,
0.000804801,
-0.109263,
-0.178015,
-0.208166,
-0.224493,
-0.23691,
-0.24774,
-0.25775,
-0.267272,
-0.276478,
-0.285462,
-0.294287,
-0.302991,
-0.311603,
-0.320142,
-0.328625,
-0.337065,
-0.345476,
-0.353871,
-0.362266,
-0.370807,
-0.397272,
-0.460767,
-0.81494,
-4.6824
],
[
7.53508,
1.12886,
0.53837,
0.292936,
0.00014533,
-0.128916,
-0.218457,
-0.262448,
-0.283969,
-0.298987,
-0.31151,
-0.322792,
-0.333353,
-0.34345,
-0.353229,
-0.362778,
-0.372154,
-0.381398,
-0.390539,
-0.399598,
-0.408594,
-0.417544,
-0.426466,
-0.435376,
-0.444316,
-0.464924,
-0.515215,
-0.854635,
-4.76568
],
[
7.54554,
1.18945,
0.586511,
0.318747,
2.49603e-05,
-0.145374,
-0.253796,
-0.314171,
-0.342409,
-0.36049,
-0.374866,
-0.38747,
-0.399068,
-0.41003,
-0.420559,
-0.430778,
-0.440765,
-0.450574,
-0.460244,
-0.469805,
-0.479279,
-0.488689,
-0.498054,
-0.507395,
-0.51674,
-0.536007,
-0.572508,
-0.894792,
-4.84503
],
[
7.55615,
1.24964,
0.631534,
0.341342,
3.72839e-06,
-0.159457,
-0.284574,
-0.362686,
-0.399551,
-0.421314,
-0.437764,
-0.451772,
-0.464432,
-0.476253,
-0.487509,
-0.498364,
-0.508921,
-0.51925,
-0.529401,
-0.539411,
-0.549308,
-0.55912,
-0.56887,
-0.578581,
-0.588282,
-0.607845,
-0.63436,
-0.936787,
-4.92174
],
[
7.56675,
1.30924,
0.672851,
0.361057,
3.5377e-07,
-0.171583,
-0.31131,
-0.407229,
-0.454922,
-0.481186,
-0.500007,
-0.515545,
-0.529319,
-0.542016,
-0.553999,
-0.565478,
-0.576584,
-0.587407,
-0.598009,
-0.608434,
-0.618721,
-0.628898,
-0.638993,
-0.649035,
-0.659052,
-0.679153,
-0.70125,
-0.981417,
-4.99658
],
[
7.57732,
1.36813,
0.710078,
0.378254,
2.13705e-08,
-0.182053,
-0.334524,
-0.447266,
-0.507999,
-0.539831,
-0.561413,
-0.578647,
-0.593615,
-0.607227,
-0.619952,
-0.632056,
-0.643706,
-0.655011,
-0.666048,
-0.676871,
-0.687525,
-0.698045,
-0.708462,
-0.718808,
-0.729114,
-0.74975,
-0.771007,
-1.02907,
-5.07004
],
[
7.58785,
1.42623,
0.743065,
0.393257,
5.44657e-09,
-0.191109,
-0.354693,
-0.482639,
-0.558218,
-0.596969,
-0.621816,
-0.640962,
-0.657229,
-0.671812,
-0.685307,
-0.698052,
-0.71025,
-0.722035,
-0.733501,
-0.744714,
-0.755723,
-0.766572,
-0.777296,
-0.787929,
-0.798507,
-0.819646,
-0.841109,
-1.07984,
-5.14243
],
[
7.59833,
1.48342,
0.771915,
0.406344,
5.44993e-09,
-0.198951,
-0.372231,
-0.513546,
-0.604994,
-0.652301,
-0.681056,
-0.702386,
-0.720086,
-0.735711,
-0.75002,
-0.763428,
-0.776187,
-0.788459,
-0.800356,
-0.811955,
-0.823316,
-0.834487,
-0.845509,
-0.856419,
-0.867257,
-0.888874,
-0.910725,
-1.13365,
-5.21399
],
[
7.60877,
1.53962,
0.796938,
0.417757,
6.22828e-09,
-0.205746,
-0.387494,
-0.540405,
-0.647786,
-0.705484,
-0.738966,
-0.762821,
-0.782119,
-0.798877,
-0.814051,
-0.828155,
-0.841496,
-0.854267,
-0.866601,
-0.87859,
-0.890302,
-0.901794,
-0.91311,
-0.924293,
-0.935383,
-0.95746,
-0.979718,
-1.19032,
-5.28487
],
[
7.6295,
1.64855,
0.837182,
0.436351,
8.71384e-09,
-0.216734,
-0.41235,
-0.583939,
-0.72009,
-0.80377,
-0.850016,
-0.880303,
-0.903451,
-0.922828,
-0.939941,
-0.95557,
-0.970159,
-0.983986,
-0.997233,
-1.01003,
-1.02246,
-1.0346,
-1.04651,
-1.05823,
-1.06982,
-1.09279,
-1.11583,
-1.31136,
-5.42503
],
[
7.65002,
1.75199,
0.867085,
0.450366,
1.27567e-08,
-0.224955,
-0.431166,
-0.616783,
-0.775121,
-0.888201,
-0.953042,
-0.992444,
-1.02067,
-1.0433,
-1.06273,
-1.08012,
-1.09611,
-1.1111,
-1.12534,
-1.13899,
-1.15218,
-1.165,
-1.17752,
-1.1898,
-1.2019,
-1.22576,
-1.24956,
-1.44033,
-5.56344
],
[
7.70983,
2.01567,
0.91712,
0.473723,
3.84335e-08,
-0.238627,
-0.463555,
-0.674103,
-0.868873,
-1.04359,
-1.18557,
-1.28057,
-1.33915,
-1.37902,
-1.40944,
-1.43454,
-1.45633,
-1.47589,
-1.49388,
-1.51068,
-1.52659,
-1.54178,
-1.55641,
-1.57056,
-1.58435,
-1.6111,
-1.63725,
-1.83147,
-5.96538
],
[
7.79421,
2.20166,
0.932648,
0.479217,
1.44888e-07,
-0.242356,
-0.475657,
-0.699647,
-0.914028,
-1.11836,
-1.3118,
-1.49211,
-1.65316,
-1.78262,
-1.87374,
-1.93613,
-1.98178,
-2.01781,
-2.04791,
-2.07411,
-2.09757,
-2.11903,
-2.13896,
-2.1577,
-2.17549,
-2.2089,
-2.24024,
-2.44312,
-6.58066
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.00179306,
"miller_cap_rise": 0.00123963,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.0143905
],
"index_3": [
0.0144729,
0.0216174,
0.0292457,
0.037327,
0.0482876
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0431715
],
"index_3": [
0.0206487,
0.0405379,
0.0612874,
0.0843868,
0.1143838
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0143905
],
"index_3": [
0.062414,
0.0768652,
0.0878627,
0.0976043,
0.1087223
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0431715
],
"index_3": [
0.0751293,
0.1005221,
0.1214701,
0.1441827,
0.1739131
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00649774
],
"index_3": [
0.0182149,
0.0263926,
0.0352344,
0.0457492,
0.0647214
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0194932
],
"index_3": [
0.0250207,
0.0461268,
0.0687863,
0.0956314,
0.1410358
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00649774
],
"index_3": [
0.0787045,
0.0928368,
0.103017,
0.113566,
0.1324222
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0194932
],
"index_3": [
0.0903263,
0.1132422,
0.1360137,
0.1626882,
0.2082538
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.935773
],
"index_2": [
0.795072
],
"index_3": [
0.0143905
],
"index_4": [
0.3898227,
0.4178125,
0.462033,
0.5266989,
0.5695673
],
"values": [
1.58034,
1.35855,
1.21068,
1.35855,
1.58034
]
},
{
"index_1": [
1.05324
],
"index_2": [
0.425406
],
"index_3": [
0.0143905
],
"index_4": [
0.2108217,
0.2324095,
0.2722798,
0.316523,
0.3507442
],
"values": [
1.50102,
1.23163,
1.05204,
1.23163,
1.50102
]
},
{
"index_1": [
1.17444
],
"index_2": [
0.269101
],
"index_3": [
0.0143905
],
"index_4": [
0.1348499,
0.1516954,
0.1798156,
0.2199826,
0.2476476
],
"values": [
1.467,
1.1772,
0.983998,
1.1772,
1.467
]
},
{
"index_1": [
0.935773
],
"index_2": [
0.397536
],
"index_3": [
0.0143905
],
"index_4": [
0.1988072,
0.2133225,
0.243793,
0.2742094,
0.3030476
],
"values": [
1.74357,
1.61971,
1.53714,
1.61971,
1.74357
]
},
{
"index_1": [
1.17444
],
"index_2": [
0.134551
],
"index_3": [
0.0143905
],
"index_4": [
0.0705929,
0.0794734,
0.0965183,
0.1166326,
0.137445
],
"values": [
1.69646,
1.54434,
1.44292,
1.54434,
1.69646
]
},
{
"index_1": [
1.05324
],
"index_2": [
0.425406
],
"index_3": [
0.0431715
],
"index_4": [
0.2133324,
0.2360708,
0.2844821,
0.3353481,
0.3918564
],
"values": [
1.76353,
1.65164,
1.57705,
1.65164,
1.76353
]
},
{
"index_1": [
1.17444
],
"index_2": [
0.269101
],
"index_3": [
0.0431715
],
"index_4": [
0.1371921,
0.1555898,
0.1891536,
0.2342277,
0.2812046
],
"values": [
1.75293,
1.63469,
1.55586,
1.63469,
1.75293
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.23329
],
"index_2": [
1.10693
],
"index_3": [
0.00649774
],
"index_4": [
0.5460224,
0.5690073,
0.6034684,
0.6402466,
0.6635677
],
"values": [
0.289009,
0.462415,
0.578019,
0.462415,
0.289009
]
},
{
"index_1": [
1.34171
],
"index_2": [
0.640287
],
"index_3": [
0.00649774
],
"index_4": [
0.3174069,
0.3379363,
0.3666755,
0.4008717,
0.4203601
],
"values": [
0.42527,
0.680433,
0.850541,
0.680433,
0.42527
]
},
{
"index_1": [
1.43851
],
"index_2": [
0.432202
],
"index_3": [
0.00649774
],
"index_4": [
0.2158895,
0.233956,
0.2612121,
0.2875649,
0.3040324
],
"values": [
0.494185,
0.790696,
0.98837,
0.790696,
0.494185
]
},
{
"index_1": [
1.23329
],
"index_2": [
0.553467
],
"index_3": [
0.00649774
],
"index_4": [
0.2758623,
0.2883382,
0.3063935,
0.3280366,
0.3411642
],
"values": [
0.170594,
0.272951,
0.341189,
0.272951,
0.170594
]
},
{
"index_1": [
1.43851
],
"index_2": [
0.216101
],
"index_3": [
0.00649774
],
"index_4": [
0.111014,
0.1202806,
0.133371,
0.1489095,
0.1575645
],
"values": [
0.262775,
0.42044,
0.52555,
0.42044,
0.262775
]
},
{
"index_1": [
1.34171
],
"index_2": [
0.640287
],
"index_3": [
0.0194932
],
"index_4": [
0.3188042,
0.3417862,
0.3833252,
0.4129209,
0.4346004
],
"values": [
0.193372,
0.309395,
0.386744,
0.309395,
0.193372
]
},
{
"index_1": [
1.43851
],
"index_2": [
0.432202
],
"index_3": [
0.0194932
],
"index_4": [
0.2172012,
0.2368116,
0.261928,
0.2973505,
0.3152814
],
"values": [
0.215402,
0.344644,
0.430805,
0.344644,
0.215402
]
}
]
},
"stage_type": "both"
},
"cell_fall del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0014555,
0.0042367,
0.0123328,
0.0358997,
0.104501,
0.304194
],
"values": [
[
0.0082308,
0.0094732,
0.0128327,
0.022167,
0.0483551,
0.1249244,
0.3470367
],
[
0.0097161,
0.0114611,
0.0154222,
0.024652,
0.0510029,
0.1280504,
0.3493665
],
[
0.0100738,
0.0126767,
0.0186586,
0.0308996,
0.0574042,
0.1339335,
0.355983
],
[
0.0070488,
0.0108451,
0.0196484,
0.0381625,
0.0721149,
0.1488501,
0.370751
],
[
-0.0048401,
0.0006659,
0.0136732,
0.0407095,
0.0918868,
0.1826582,
0.4051804
],
[
-0.0394639,
-0.0314162,
-0.0127975,
0.0267862,
0.101669,
0.2389124,
0.4854749
],
[
-0.1294938,
-0.1178802,
-0.0903851,
-0.0332114,
0.0763079,
0.2786042,
0.6400848
]
]
},
"cell_rise del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0014555,
0.0042367,
0.0123328,
0.0358997,
0.104501,
0.304194
],
"values": [
[
0.0139525,
0.0167298,
0.0244476,
0.0457115,
0.1056038,
0.2789579,
0.7794288
],
[
0.0180586,
0.0206528,
0.0281029,
0.0493586,
0.1090294,
0.2828861,
0.786212
],
[
0.0265635,
0.0303087,
0.0379867,
0.0585944,
0.1184163,
0.2918885,
0.7937564
],
[
0.040395,
0.045848,
0.0579669,
0.0820871,
0.1412686,
0.3144582,
0.8182143
],
[
0.0651826,
0.072904,
0.0912973,
0.1280967,
0.1954128,
0.3681106,
0.8643286
],
[
0.1144818,
0.1248739,
0.1503889,
0.2050939,
0.3073727,
0.4924909,
0.9919556
],
[
0.2190616,
0.2328253,
0.2669394,
0.3425033,
0.4956405,
0.7667762,
1.2837758
]
]
},
"fall_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0014555,
0.0042367,
0.0123328,
0.0358997,
0.104501,
0.304194
],
"values": [
[
0.0049184,
0.0067165,
0.0121563,
0.0279615,
0.0745354,
0.2086465,
0.5972844
],
[
0.0076682,
0.009251,
0.0134715,
0.0282021,
0.0745841,
0.2082582,
0.5970874
],
[
0.0125286,
0.0148898,
0.020719,
0.0329124,
0.0745478,
0.2092875,
0.597941
],
[
0.0206364,
0.0244701,
0.032889,
0.0500747,
0.0853157,
0.2082088,
0.6020261
],
[
0.0351945,
0.0407566,
0.053462,
0.0784322,
0.1259643,
0.2304243,
0.5987203
],
[
0.0636766,
0.0712896,
0.0890683,
0.1265332,
0.1972689,
0.324974,
0.6298143
],
[
0.1207891,
0.1316425,
0.1579989,
0.210874,
0.3140864,
0.5042126,
0.8477744
]
]
},
"related_pin": "A",
"rise_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0014555,
0.0042367,
0.0123328,
0.0358997,
0.104501,
0.304194
],
"values": [
[
0.0118163,
0.0164183,
0.0298674,
0.0691147,
0.1832352,
0.5150727,
1.4847328
],
[
0.0122256,
0.0164948,
0.0298958,
0.0691731,
0.1831142,
0.5177463,
1.48502
],
[
0.0189379,
0.0220429,
0.0320105,
0.0690726,
0.1832469,
0.5174176,
1.4783038
],
[
0.0286001,
0.0340088,
0.0461139,
0.0752366,
0.1826684,
0.5158625,
1.4821796
],
[
0.0439667,
0.0519947,
0.0708657,
0.1069916,
0.1950213,
0.5132413,
1.4812895
],
[
0.0706427,
0.0812489,
0.1081678,
0.1641419,
0.2653456,
0.5332997,
1.476706
],
[
0.1273383,
0.1399745,
0.1722001,
0.2521794,
0.409274,
0.6777599,
1.4954256
]
]
},
"timing_sense": "negative_unate",
"timing_type": "combinational"
}
}
}