blob: 4365bac609ef56b4a1dd3f876160a2b0c9b92ff0 [file] [log] [blame]
{
"area": 12.512,
"cell_footprint": "sky130_fd_sc_hdll__clkmux2",
"cell_leakage_power": 0.0003812105,
"leakage_power": [
{
"value": 0.0004301,
"when": "!A0&!A1&S"
},
{
"value": 0.00031,
"when": "!A0&!A1&!S"
},
{
"value": 0.0003797,
"when": "!A0&A1&S"
},
{
"value": 0.0003647,
"when": "!A0&A1&!S"
},
{
"value": 0.0004307,
"when": "A0&!A1&S"
},
{
"value": 0.0002535,
"when": "A0&!A1&!S"
},
{
"value": 0.0005017,
"when": "A0&A1&S"
},
{
"value": 0.0003792,
"when": "A0&A1&!S"
}
],
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,A0": {
"capacitance": 0.002015,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.001869,
"internal_power": {
"fall_power,power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
0.0028467,
0.0028419,
0.0028309,
0.0028317,
0.0028335,
0.0028376,
0.002847
]
},
"rise_power,power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
-0.0020483,
-0.0020647,
-0.0021024,
-0.0021018,
-0.0021004,
-0.0020973,
-0.00209
]
}
},
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.002161
},
"pin,A1": {
"capacitance": 0.002378,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.002195,
"internal_power": {
"fall_power,power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
0.003492,
0.0034904,
0.0034867,
0.0034867,
0.0034869,
0.0034873,
0.0034881
]
},
"rise_power,power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
-0.0029746,
-0.0029874,
-0.0030169,
-0.003016,
-0.003014,
-0.0030092,
-0.0029984
]
}
},
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.002561
},
"pin,S": {
"capacitance": 0.004438,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.004143,
"internal_power": {
"fall_power,power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
0.011329,
0.0111967,
0.0108915,
0.0108827,
0.0108625,
0.0108158,
0.0107081
]
},
"rise_power,power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
-0.0020319,
-0.0020938,
-0.0022366,
-0.0022589,
-0.0023102,
-0.0024285,
-0.0027012
]
}
},
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.004733
},
"pin,X": {
"direction": "output",
"function": "(A0&!S) | (A1&S)",
"internal_power": [
{
"fall_power,power_outputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0011969,
0.0028651,
0.0068586,
0.0164181,
0.0393017,
0.0940804
],
"values": [
[
0.0067531,
0.0062388,
0.0047317,
0.0006888,
-0.0103213,
-0.0379293,
-0.1045032
],
[
0.0067273,
0.006175,
0.0047014,
0.0006346,
-0.0103672,
-0.0379632,
-0.1045655
],
[
0.0066409,
0.0060933,
0.0046498,
0.0005786,
-0.0104552,
-0.0380952,
-0.1046226
],
[
0.0064747,
0.0058982,
0.0044686,
0.0003707,
-0.0106326,
-0.0382585,
-0.1048567
],
[
0.0063113,
0.0057628,
0.0043229,
0.0002252,
-0.010797,
-0.0383854,
-0.1049713
],
[
0.0060655,
0.0055371,
0.0041025,
3.82e-05,
-0.0109469,
-0.0385435,
-0.1050821
],
[
0.0079038,
0.0070514,
0.0050641,
0.0002736,
-0.0112834,
-0.0386437,
-0.1051591
]
]
},
"related_pin": "A0",
"rise_power,power_outputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0011969,
0.0028651,
0.0068586,
0.0164181,
0.0393017,
0.0940804
],
"values": [
[
0.0087347,
0.0096661,
0.0118039,
0.016781,
0.0285067,
0.0564303,
0.1218528
],
[
0.0087709,
0.0097103,
0.0118555,
0.0167896,
0.0285717,
0.0561552,
0.1222751
],
[
0.0088052,
0.0097437,
0.0118846,
0.0168066,
0.0285384,
0.0565123,
0.1216862
],
[
0.0087019,
0.0096716,
0.0117991,
0.0167176,
0.0285089,
0.0561104,
0.1222257
],
[
0.0085509,
0.0094716,
0.0115801,
0.0165158,
0.028297,
0.0558995,
0.1219365
],
[
0.0085364,
0.0093886,
0.0114501,
0.0162647,
0.0279329,
0.0553246,
0.1212504
],
[
0.008384,
0.0092433,
0.0112943,
0.0162022,
0.0280113,
0.0553877,
0.1205482
]
]
}
},
{
"fall_power,power_outputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0011969,
0.0028651,
0.0068586,
0.0164181,
0.0393017,
0.0940804
],
"values": [
[
0.0067767,
0.0061922,
0.0048041,
0.0007351,
-0.0101687,
-0.0377598,
-0.1043048
],
[
0.0066829,
0.0061524,
0.0046658,
0.0006926,
-0.0102028,
-0.0377676,
-0.1043379
],
[
0.0064819,
0.0059583,
0.0044829,
0.0005027,
-0.0104131,
-0.0380039,
-0.1045308
],
[
0.0063135,
0.0057857,
0.0043371,
0.0003138,
-0.0106271,
-0.0382031,
-0.1047148
],
[
0.0060842,
0.0055497,
0.0040785,
7.19e-05,
-0.0108562,
-0.0384098,
-0.104952
],
[
0.0058378,
0.0053174,
0.0038878,
-0.0001242,
-0.0110476,
-0.0385819,
-0.1050797
],
[
0.0075893,
0.006773,
0.0048459,
7.4e-05,
-0.0114771,
-0.0387254,
-0.1051905
]
]
},
"related_pin": "A1",
"rise_power,power_outputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0011969,
0.0028651,
0.0068586,
0.0164181,
0.0393017,
0.0940804
],
"values": [
[
0.0097432,
0.0106389,
0.0127323,
0.0175296,
0.0290679,
0.0567988,
0.1220269
],
[
0.009777,
0.0106862,
0.0127461,
0.0175804,
0.0291497,
0.0565346,
0.1223355
],
[
0.0097895,
0.0106977,
0.0127683,
0.0175715,
0.0291197,
0.0564422,
0.1224597
],
[
0.0096743,
0.0106146,
0.0126928,
0.0175353,
0.0289608,
0.0567016,
0.1224153
],
[
0.0095025,
0.0104045,
0.0124826,
0.0173509,
0.0289839,
0.056383,
0.1217554
],
[
0.0094077,
0.0102985,
0.0123327,
0.0170943,
0.0287006,
0.0561926,
0.1208697
],
[
0.0092687,
0.0101131,
0.0122295,
0.016994,
0.0287729,
0.0561098,
0.1214205
]
]
}
},
{
"fall_power,power_outputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0011969,
0.0028651,
0.0068586,
0.0164181,
0.0393017,
0.0940804
],
"values": [
[
0.0086993,
0.0082007,
0.0067698,
0.002726,
-0.0082128,
-0.0357254,
-0.1023241
],
[
0.0087273,
0.0081639,
0.0067724,
0.0027162,
-0.0082191,
-0.0357301,
-0.1022809
],
[
0.008722,
0.0081582,
0.006792,
0.002698,
-0.0082172,
-0.0357418,
-0.1022836
],
[
0.0084951,
0.0079788,
0.0066321,
0.002579,
-0.0084271,
-0.0359546,
-0.1024547
],
[
0.00836,
0.0078518,
0.0064058,
0.0023692,
-0.0085599,
-0.0361073,
-0.1026374
],
[
0.0104035,
0.0096367,
0.0076956,
0.0029658,
-0.0085867,
-0.036164,
-0.1026888
],
[
0.010964,
0.0101648,
0.0082387,
0.0035749,
-0.0079111,
-0.0356235,
-0.1024655
]
]
},
"related_pin": "S",
"rise_power,power_outputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0011969,
0.0028651,
0.0068586,
0.0164181,
0.0393017,
0.0940804
],
"values": [
[
0.0085411,
0.0094816,
0.0116483,
0.0165649,
0.0283575,
0.0562567,
0.1214053
],
[
0.0085591,
0.0095109,
0.0116199,
0.0166026,
0.0282097,
0.0560105,
0.1220413
],
[
0.0087083,
0.0096573,
0.011799,
0.0167173,
0.0284803,
0.0560728,
0.1222509
],
[
0.0086277,
0.00961,
0.0117084,
0.0167006,
0.0283437,
0.0562952,
0.1217
],
[
0.0085953,
0.0095525,
0.0116938,
0.0165689,
0.0282646,
0.0559861,
0.1221209
],
[
0.0082655,
0.0093269,
0.0116462,
0.0166151,
0.0283065,
0.0559991,
0.1221756
],
[
0.0083632,
0.0092382,
0.0113189,
0.0162737,
0.0282766,
0.0560875,
0.1213492
]
]
}
}
],
"max_capacitance": 0.09408,
"max_transition": 1.508729,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"cell_fall,del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0011969,
0.0028651,
0.0068586,
0.0164181,
0.0393017,
0.0940804
],
"values": [
[
0.1795407,
0.1865402,
0.1997545,
0.2244644,
0.268436,
0.3552324,
0.5468957
],
[
0.1842243,
0.1913499,
0.2045091,
0.2292163,
0.2732209,
0.360029,
0.5517264
],
[
0.196044,
0.2031112,
0.2167539,
0.2409897,
0.2852466,
0.3718014,
0.5637446
],
[
0.2259182,
0.2330072,
0.2464622,
0.2704972,
0.314828,
0.4017084,
0.5933948
],
[
0.3004712,
0.3076442,
0.3211326,
0.345423,
0.389622,
0.4766819,
0.6687525
],
[
0.4662404,
0.4740868,
0.4887317,
0.5142969,
0.5594991,
0.646788,
0.8390285
],
[
0.7674791,
0.7779017,
0.7967457,
0.8284839,
0.8804574,
0.9725001,
1.1666655
]
]
},
"cell_rise,del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0011969,
0.0028651,
0.0068586,
0.0164181,
0.0393017,
0.0940804
],
"values": [
[
0.0981671,
0.1058227,
0.1222003,
0.1579603,
0.2401888,
0.4348422,
0.8984897
],
[
0.1023359,
0.1099991,
0.1263394,
0.1621713,
0.2447742,
0.4395755,
0.9026304
],
[
0.1119494,
0.1196111,
0.1359123,
0.1717286,
0.2540184,
0.4483802,
0.9115261
],
[
0.1343179,
0.1419367,
0.1581683,
0.1938597,
0.2763539,
0.4709095,
0.9344422
],
[
0.1752153,
0.1831347,
0.1998208,
0.2357843,
0.3180317,
0.5130685,
0.9763639
],
[
0.2319702,
0.2401959,
0.2576044,
0.2941345,
0.3764549,
0.5715806,
1.0371829
],
[
0.2972886,
0.3077674,
0.3278265,
0.3660441,
0.4487619,
0.6430062,
1.1088481
]
]
},
"fall_transition,del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0011969,
0.0028651,
0.0068586,
0.0164181,
0.0393017,
0.0940804
],
"values": [
[
0.0416524,
0.0478032,
0.0600334,
0.0848292,
0.1405688,
0.2701546,
0.5927471
],
[
0.0411571,
0.0477881,
0.0600323,
0.0848306,
0.1406012,
0.2701021,
0.5930674
],
[
0.0417868,
0.0477694,
0.0596935,
0.0856131,
0.139875,
0.2687096,
0.5968746
],
[
0.0414064,
0.0472011,
0.0599509,
0.0849901,
0.1403093,
0.2699158,
0.5928981
],
[
0.0413665,
0.047053,
0.0598726,
0.0855785,
0.1406225,
0.269098,
0.5923006
],
[
0.0489132,
0.0547146,
0.066516,
0.0906113,
0.1439574,
0.2699663,
0.5990456
],
[
0.07093,
0.0778297,
0.0909625,
0.1152943,
0.165989,
0.2843769,
0.6007788
]
]
},
"related_pin": "A0",
"rise_transition,del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0011969,
0.0028651,
0.0068586,
0.0164181,
0.0393017,
0.0940804
],
"values": [
[
0.036504,
0.0469141,
0.0714419,
0.1318877,
0.2819889,
0.6390912,
1.5049804
],
[
0.0366401,
0.0468669,
0.0714378,
0.1321095,
0.2807417,
0.6401787,
1.4975837
],
[
0.0364982,
0.046843,
0.0713854,
0.1317997,
0.282007,
0.6410082,
1.5069655
],
[
0.0364358,
0.0468402,
0.0714754,
0.1321468,
0.2814773,
0.6409121,
1.4988413
],
[
0.0389884,
0.0490561,
0.0730663,
0.1326434,
0.2804179,
0.6405626,
1.5047781
],
[
0.0452464,
0.0551211,
0.0772068,
0.135365,
0.2822689,
0.6355691,
1.4962168
],
[
0.0591868,
0.0682665,
0.0899008,
0.1415572,
0.2838536,
0.6371731,
1.4911293
]
]
},
"timing_sense": "positive_unate",
"timing_type": "combinational"
},
{
"cell_fall,del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0011969,
0.0028651,
0.0068586,
0.0164181,
0.0393017,
0.0940804
],
"values": [
[
0.1922247,
0.1995153,
0.2131508,
0.2379797,
0.282282,
0.369387,
0.5613925
],
[
0.1969104,
0.2041206,
0.2177187,
0.24233,
0.2867504,
0.3739281,
0.5660675
],
[
0.2081498,
0.2153226,
0.2289806,
0.2535822,
0.297942,
0.3850537,
0.5771608
],
[
0.2373204,
0.244481,
0.2581477,
0.2822816,
0.3268679,
0.4139827,
0.606111
],
[
0.3097961,
0.3170204,
0.330532,
0.3550803,
0.3994826,
0.4866501,
0.6786339
],
[
0.4768828,
0.4841839,
0.4988044,
0.5243816,
0.570604,
0.6582711,
0.8503162
],
[
0.7793972,
0.7899916,
0.810341,
0.8419086,
0.8935015,
0.9854773,
1.178919
]
]
},
"cell_rise,del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0011969,
0.0028651,
0.0068586,
0.0164181,
0.0393017,
0.0940804
],
"values": [
[
0.098363,
0.1057257,
0.1215441,
0.1563699,
0.2371404,
0.4301569,
0.8922924
],
[
0.1025455,
0.1099526,
0.1257692,
0.1605422,
0.2416345,
0.434449,
0.8989915
],
[
0.112022,
0.1194278,
0.1352099,
0.1700925,
0.251273,
0.4448868,
0.9066795
],
[
0.134285,
0.1416765,
0.1574865,
0.192345,
0.2735662,
0.4664825,
0.9311268
],
[
0.1753951,
0.1831535,
0.1995093,
0.2350256,
0.316339,
0.5101769,
0.9719956
],
[
0.2308436,
0.2394912,
0.2567013,
0.292746,
0.3747331,
0.5687834,
1.0321051
],
[
0.2982297,
0.3085728,
0.3288262,
0.3659542,
0.4483,
0.6416397,
1.1050065
]
]
},
"fall_transition,del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0011969,
0.0028651,
0.0068586,
0.0164181,
0.0393017,
0.0940804
],
"values": [
[
0.0423237,
0.047939,
0.06076,
0.0851805,
0.1408256,
0.2699758,
0.5943031
],
[
0.0420943,
0.0480309,
0.0598109,
0.0858698,
0.1406125,
0.2696601,
0.5966352
],
[
0.0417056,
0.0476734,
0.0598608,
0.0858148,
0.1405399,
0.2693836,
0.5951526
],
[
0.0419103,
0.0482962,
0.0601494,
0.0865098,
0.1404953,
0.2693799,
0.5974649
],
[
0.0418877,
0.0478553,
0.0600166,
0.0852711,
0.1409775,
0.2700475,
0.594185
],
[
0.0486156,
0.0545413,
0.0663258,
0.0904396,
0.1439417,
0.2706447,
0.5958419
],
[
0.0705579,
0.0778031,
0.0901615,
0.1149508,
0.1654824,
0.2841299,
0.6009513
]
]
},
"related_pin": "A1",
"rise_transition,del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0011969,
0.0028651,
0.0068586,
0.0164181,
0.0393017,
0.0940804
],
"values": [
[
0.0361131,
0.0463594,
0.0710195,
0.131627,
0.281997,
0.6401077,
1.5063918
],
[
0.0361783,
0.0463969,
0.0709361,
0.131808,
0.2812865,
0.6416921,
1.5003668
],
[
0.0360118,
0.0463879,
0.070974,
0.1315953,
0.2810677,
0.6403294,
1.5007247
],
[
0.0360135,
0.0463503,
0.0710218,
0.1313345,
0.2805977,
0.6363536,
1.4995526
],
[
0.0386293,
0.0487302,
0.0728453,
0.1327006,
0.2802249,
0.6404632,
1.505666
],
[
0.0447533,
0.0545501,
0.0767822,
0.1352621,
0.2825336,
0.6354636,
1.5087288
],
[
0.0586345,
0.0672798,
0.0893406,
0.1417258,
0.2838471,
0.6387309,
1.4901368
]
]
},
"timing_sense": "positive_unate",
"timing_type": "combinational"
},
{
"cell_fall,del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0011969,
0.0028651,
0.0068586,
0.0164181,
0.0393017,
0.0940804
],
"values": [
[
0.205164,
0.2122855,
0.2257375,
0.2499905,
0.2940181,
0.38073,
0.5722369
],
[
0.2105351,
0.2176507,
0.2310383,
0.2554126,
0.2994957,
0.386199,
0.5779965
],
[
0.2239035,
0.2311077,
0.2446192,
0.2689088,
0.3130834,
0.3997267,
0.5914776
],
[
0.2556883,
0.2628766,
0.2762765,
0.3006266,
0.3446466,
0.4314002,
0.6232232
],
[
0.3269546,
0.3339912,
0.3475472,
0.3717032,
0.4158636,
0.5026429,
0.6944334
],
[
0.4834868,
0.4908365,
0.5050004,
0.530143,
0.5751231,
0.6624723,
0.8544494
],
[
0.7880363,
0.7968267,
0.8132411,
0.8418168,
0.8914942,
0.9835356,
1.1772328
]
]
},
"cell_rise,del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0011969,
0.0028651,
0.0068586,
0.0164181,
0.0393017,
0.0940804
],
"values": [
[
0.1039844,
0.1113823,
0.1272093,
0.1618636,
0.242539,
0.4348972,
0.8987282
],
[
0.1081402,
0.1155648,
0.1313828,
0.1660694,
0.2467973,
0.4392061,
0.9029734
],
[
0.1175259,
0.1249134,
0.14074,
0.1753877,
0.2561063,
0.4483047,
0.9125026
],
[
0.1387766,
0.1461396,
0.161922,
0.1967253,
0.2775095,
0.4712759,
0.9316498
],
[
0.1795064,
0.1872222,
0.2035643,
0.2388497,
0.3199828,
0.5129676,
0.9772251
],
[
0.241405,
0.2497205,
0.2669601,
0.3029912,
0.3847821,
0.578444,
1.0425464
],
[
0.322728,
0.3325668,
0.3522408,
0.390197,
0.4724532,
0.6660192,
1.1293124
]
]
},
"fall_transition,del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0011969,
0.0028651,
0.0068586,
0.0164181,
0.0393017,
0.0940804
],
"values": [
[
0.0412573,
0.0471919,
0.0595842,
0.0850101,
0.140656,
0.2699999,
0.592884
],
[
0.0416744,
0.0471333,
0.0595352,
0.0847967,
0.1405234,
0.2689334,
0.5988575
],
[
0.0413106,
0.0473557,
0.0600687,
0.0856346,
0.1401478,
0.2700791,
0.5926763
],
[
0.0411994,
0.0471534,
0.0594491,
0.0848334,
0.1405708,
0.2689984,
0.59924
],
[
0.0415143,
0.0473756,
0.0599267,
0.0847995,
0.1404447,
0.2690362,
0.5963436
],
[
0.0455032,
0.0521512,
0.0639762,
0.0882244,
0.1421604,
0.2700787,
0.5942514
],
[
0.0575016,
0.0646154,
0.0773104,
0.1038133,
0.1561015,
0.2826145,
0.6009966
]
]
},
"related_pin": "S",
"rise_transition,del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0011969,
0.0028651,
0.0068586,
0.0164181,
0.0393017,
0.0940804
],
"values": [
[
0.0360268,
0.0463905,
0.0711467,
0.1313465,
0.281437,
0.6410892,
1.499388
],
[
0.0359651,
0.0463227,
0.0710839,
0.1318072,
0.2812843,
0.6409028,
1.4994127
],
[
0.0359778,
0.0464095,
0.0710617,
0.1315056,
0.280451,
0.643325,
1.5006963
],
[
0.0359409,
0.0463733,
0.0710197,
0.1314679,
0.2820914,
0.6406045,
1.5071058
],
[
0.0381715,
0.048496,
0.0725338,
0.1322329,
0.2802579,
0.6430381,
1.5004515
],
[
0.0433968,
0.0533686,
0.0763253,
0.1346178,
0.2833975,
0.6357894,
1.5004778
],
[
0.0546299,
0.0641467,
0.0861145,
0.1402988,
0.2846418,
0.6398879,
1.4897303
]
]
},
"timing_sense": "positive_unate",
"timing_type": "combinational"
},
{
"cell_fall,del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0011969,
0.0028651,
0.0068586,
0.0164181,
0.0393017,
0.0940804
],
"values": [
[
0.2132054,
0.220342,
0.2339787,
0.2583828,
0.3026831,
0.3899302,
0.5820867
],
[
0.2180824,
0.2252847,
0.2389038,
0.2632195,
0.3076723,
0.3948794,
0.5870865
],
[
0.2283838,
0.2355297,
0.2491566,
0.2737151,
0.3178645,
0.4051101,
0.5970859
],
[
0.2445262,
0.2516362,
0.2651567,
0.2897112,
0.3338607,
0.4210049,
0.613137
],
[
0.2656248,
0.272754,
0.2860161,
0.3104971,
0.3550216,
0.4422588,
0.6344564
],
[
0.292388,
0.2992813,
0.3128682,
0.3373685,
0.3812646,
0.4680329,
0.6598246
],
[
0.3093557,
0.3164972,
0.3300908,
0.3545728,
0.3985917,
0.4857557,
0.6770436
]
]
},
"cell_rise,del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0011969,
0.0028651,
0.0068586,
0.0164181,
0.0393017,
0.0940804
],
"values": [
[
0.160506,
0.1681528,
0.1845041,
0.2202923,
0.3025219,
0.4969839,
0.9605855
],
[
0.166175,
0.1738699,
0.1902179,
0.2259157,
0.3083157,
0.5025977,
0.968569
],
[
0.180317,
0.1879802,
0.204309,
0.2401031,
0.3226349,
0.5175372,
0.980888
],
[
0.2147501,
0.2224269,
0.238786,
0.2744044,
0.3566565,
0.5514598,
1.0160756
],
[
0.2883647,
0.2960179,
0.3123294,
0.3481721,
0.4306997,
0.6254246,
1.0887407
],
[
0.4186356,
0.4263114,
0.4426666,
0.4783933,
0.5603392,
0.7550616,
1.2207818
],
[
0.6536205,
0.661296,
0.6777176,
0.7133605,
0.7955793,
0.9909497,
1.4531114
]
]
},
"fall_transition,del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0011969,
0.0028651,
0.0068586,
0.0164181,
0.0393017,
0.0940804
],
"values": [
[
0.0416958,
0.0476522,
0.0599747,
0.0859052,
0.1406575,
0.2703703,
0.5978942
],
[
0.0417176,
0.0477507,
0.0603453,
0.0860603,
0.140335,
0.2701123,
0.5967672
],
[
0.0422224,
0.0483322,
0.0604306,
0.0852516,
0.1409511,
0.2706031,
0.5931598
],
[
0.0423428,
0.0484531,
0.0605786,
0.0859949,
0.1411768,
0.2704574,
0.5931754
],
[
0.0417136,
0.0481354,
0.0602197,
0.0854202,
0.1412193,
0.2703262,
0.5952431
],
[
0.0416028,
0.0480073,
0.059614,
0.0851022,
0.1407179,
0.267306,
0.5955028
],
[
0.0416253,
0.0475605,
0.0597053,
0.0853109,
0.140297,
0.2697205,
0.5914822
]
]
},
"related_pin": "S",
"rise_transition,del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0011969,
0.0028651,
0.0068586,
0.0164181,
0.0393017,
0.0940804
],
"values": [
[
0.0365504,
0.0468063,
0.0713283,
0.1316631,
0.2817607,
0.6409115,
1.5068606
],
[
0.0365746,
0.0468732,
0.071379,
0.1317603,
0.2807399,
0.6434355,
1.5004661
],
[
0.0366858,
0.0469053,
0.0714646,
0.1320971,
0.280841,
0.6401676,
1.498117
],
[
0.0364854,
0.0468392,
0.0715971,
0.1319037,
0.2817654,
0.6403667,
1.5004834
],
[
0.0364171,
0.0468089,
0.0712447,
0.1318908,
0.2804797,
0.6392985,
1.4964123
],
[
0.036722,
0.0470138,
0.0714168,
0.1311036,
0.2793393,
0.6394915,
1.5025427
],
[
0.037092,
0.0475928,
0.072056,
0.1318272,
0.2814884,
0.6349787,
1.488419
]
]
},
"timing_sense": "negative_unate",
"timing_type": "combinational"
}
]
}
}