| /* |
| * Copyright 2020 The SkyWater PDK Authors |
| * |
| * Licensed under the Apache License, Version 2.0 (the "License"); |
| * you may not use this file except in compliance with the License. |
| * You may obtain a copy of the License at |
| * |
| * https://www.apache.org/licenses/LICENSE-2.0 |
| * |
| * Unless required by applicable law or agreed to in writing, software |
| * distributed under the License is distributed on an "AS IS" BASIS, |
| * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. |
| * See the License for the specific language governing permissions and |
| * limitations under the License. |
| * |
| * SPDX-License-Identifier: Apache-2.0 |
| */ |
| |
| |
| `ifndef SKY130_FD_SC_HDLL__XNOR2_BEHAVIORAL_V |
| `define SKY130_FD_SC_HDLL__XNOR2_BEHAVIORAL_V |
| |
| /** |
| * xnor2: 2-input exclusive NOR. |
| * |
| * Y = !(A ^ B) |
| * |
| * Verilog simulation functional model. |
| */ |
| |
| `timescale 1ns / 1ps |
| `default_nettype none |
| |
| `celldefine |
| module sky130_fd_sc_hdll__xnor2 ( |
| Y, |
| A, |
| B |
| ); |
| |
| // Module ports |
| output Y; |
| input A; |
| input B; |
| |
| // Module supplies |
| supply1 VPWR; |
| supply0 VGND; |
| supply1 VPB ; |
| supply0 VNB ; |
| |
| // Local signals |
| wire xnor0_out_Y; |
| |
| // Name Output Other arguments |
| xnor xnor0 (xnor0_out_Y, A, B ); |
| buf buf0 (Y , xnor0_out_Y ); |
| |
| endmodule |
| `endcelldefine |
| |
| `default_nettype wire |
| `endif // SKY130_FD_SC_HDLL__XNOR2_BEHAVIORAL_V |