blob: d15cea6e38ab12926a63ed230754c1d2ddac9bc6 [file] [log] [blame]
{
"area": 12.512,
"cell_footprint": "sky130_fd_sc_hdll__inv",
"cell_leakage_power": 0.0,
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,A": {
"capacitance": 0.016973,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.015861,
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.018084
},
"pin,Y": {
"direction": "output",
"function": "(!A)",
"internal_power": {
"fall_power,power_outputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.001597308,
0.005102783,
0.01630143,
0.05207678,
0.1663653,
0.531473
],
"values": [
[
-0.0125472,
-0.0139662,
-0.0187103,
-0.0352171,
-0.090259,
-0.266917,
-0.8328671
],
[
-0.0142095,
-0.0155886,
-0.0200802,
-0.0360547,
-0.0904805,
-0.2671631,
-0.8324749
],
[
-0.0162054,
-0.0175463,
-0.021963,
-0.0375097,
-0.0911809,
-0.2673612,
-0.832631
],
[
-0.017924,
-0.0193394,
-0.0240207,
-0.0395382,
-0.0923689,
-0.2679664,
-0.832807
],
[
-0.0181731,
-0.0196874,
-0.0245964,
-0.0407319,
-0.0942814,
-0.2691,
-0.8330474
],
[
-0.0187285,
-0.0204819,
-0.0255889,
-0.0420575,
-0.0959911,
-0.27056,
-0.833773
],
[
-0.0195749,
-0.0214932,
-0.0258663,
-0.042724,
-0.096817,
-0.2716485,
-0.8350654
]
]
},
"related_pin": "A",
"rise_power,power_outputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.001597308,
0.005102783,
0.01630143,
0.05207678,
0.1663653,
0.531473
],
"values": [
[
0.0531832,
0.0553927,
0.0620568,
0.080692,
0.1365392,
0.3124799,
0.86592
],
[
0.0517469,
0.0537454,
0.0600789,
0.0789416,
0.1352068,
0.3115581,
0.8667129
],
[
0.0505078,
0.0524316,
0.0587538,
0.0771665,
0.1336729,
0.3126653,
0.8635422
],
[
0.0498653,
0.0517765,
0.0574646,
0.0756225,
0.131702,
0.3076264,
0.8658289
],
[
0.0493408,
0.051072,
0.0566566,
0.0743694,
0.1304124,
0.3054222,
0.8700723
],
[
0.0486334,
0.0504666,
0.056312,
0.0740956,
0.1290556,
0.3065533,
0.8596064
],
[
0.0465545,
0.0478652,
0.0536356,
0.0707613,
0.1290517,
0.3029055,
0.8574292
]
]
}
},
"max_capacitance": 0.531473,
"max_transition": 1.517423,
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": {
"cell_fall,del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0015973,
0.0051028,
0.0163014,
0.0520768,
0.166365,
0.531473
],
"values": [
[
0.0145681,
0.0152519,
0.0173344,
0.0234522,
0.0422144,
0.1013474,
0.2894136
],
[
0.0184267,
0.0191921,
0.0213521,
0.0276276,
0.0464559,
0.1059943,
0.2951104
],
[
0.0270697,
0.0280551,
0.0308813,
0.037525,
0.0566185,
0.1153426,
0.3036313
],
[
0.0401855,
0.0417697,
0.046505,
0.0574126,
0.0804842,
0.1401394,
0.3280822
],
[
0.0602076,
0.0629184,
0.0703074,
0.088629,
0.1260605,
0.194889,
0.3831962
],
[
0.0881611,
0.0927265,
0.1054151,
0.1364644,
0.1960586,
0.3081505,
0.511679
],
[
0.11807,
0.126487,
0.1497773,
0.2039285,
0.3076917,
0.4912993,
0.7971853
]
]
},
"cell_rise,del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0015973,
0.0051028,
0.0163014,
0.0520768,
0.166365,
0.531473
],
"values": [
[
0.0313826,
0.0335169,
0.0399796,
0.0587883,
0.1148367,
0.2897768,
0.8516241
],
[
0.0355146,
0.0376015,
0.0438975,
0.0626281,
0.1193384,
0.2961891,
0.8596639
],
[
0.0473189,
0.0492308,
0.0554004,
0.0738929,
0.1305186,
0.3071063,
0.8688095
],
[
0.0765109,
0.0785079,
0.084205,
0.1022986,
0.1579361,
0.3325644,
0.8947514
],
[
0.1310135,
0.1346096,
0.1450243,
0.1683425,
0.224811,
0.4003522,
0.9648239
],
[
0.2348569,
0.2410968,
0.2585307,
0.299748,
0.3816121,
0.5588708,
1.1202358
],
[
0.4268022,
0.4379837,
0.4689355,
0.5414489,
0.6826654,
0.9234172,
1.4836427
]
]
},
"fall_transition,del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0015973,
0.0051028,
0.0163014,
0.0520768,
0.166365,
0.531473
],
"values": [
[
0.0086684,
0.0096682,
0.0130335,
0.0233224,
0.0564938,
0.161408,
0.496935
],
[
0.0087221,
0.0097009,
0.0129386,
0.0232,
0.0564945,
0.1621783,
0.4983209
],
[
0.0127887,
0.0136487,
0.0160251,
0.0244145,
0.056271,
0.1614866,
0.4972685
],
[
0.0217773,
0.0231325,
0.0267402,
0.0365009,
0.0615203,
0.1620643,
0.4980189
],
[
0.0389875,
0.0411027,
0.0465885,
0.0609867,
0.0922705,
0.1732442,
0.4975525
],
[
0.0726024,
0.07594,
0.0850067,
0.1074706,
0.1570376,
0.2432749,
0.5115495
],
[
0.143065,
0.1477896,
0.161933,
0.1954354,
0.2671505,
0.3976613,
0.6554732
]
]
},
"related_pin": "A",
"rise_transition,del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.0015973,
0.0051028,
0.0163014,
0.0520768,
0.166365,
0.531473
],
"values": [
[
0.0258474,
0.0289589,
0.0386898,
0.0702251,
0.1682065,
0.4849186,
1.4917259
],
[
0.025898,
0.0286821,
0.0384587,
0.0697376,
0.1690326,
0.487752,
1.5089873
],
[
0.0256969,
0.0286708,
0.038683,
0.0699627,
0.1677315,
0.4926332,
1.5049163
],
[
0.0305624,
0.033049,
0.0408823,
0.0700625,
0.1681747,
0.4868034,
1.507089
],
[
0.0550823,
0.0579235,
0.0653256,
0.0866398,
0.170605,
0.4830624,
1.5174226
],
[
0.0943642,
0.0987707,
0.1118195,
0.1426011,
0.2107579,
0.4901004,
1.4997473
],
[
0.1758396,
0.1830444,
0.2036391,
0.2524656,
0.3510287,
0.5636048,
1.4991524
]
]
},
"timing_sense": "negative_unate",
"timing_type": "combinational"
}
}
}