Significant improvements to library sky130_fd_sc_hd version 0.0.1.

This commit contains major improvements to all files by regenerating
from original data, improving consistency and automated cross checking
of data.

These improvements should drastically reduce customer confusion when
using the library and further reduce future possibility for human errors to
creep into designs.

Notable improvements include;

 * A large number of files have been regenerated from original source
   data including most liberty timing files and spice simulation models
   (compared to previous hand created versions).

 * Catalog and other library aggregations are now automatically
   generated from library contents (compared to previous hand created
   versions).

 * Significant improvements to documentation for all cells, including
   producing graphical representations, verified metadata and
   descriptions.

 * Names have been cross referenced between file types (such as
   simulation, layout, schematic and timing) and now verified to match.

 * Names have been improved to fix a standard format across all supported
   libraries and PDK contents.

 * Significant improvements to the contents of text files (like the
   verilog files) through improving consistent style that has been
   automatically checked.

 * Simplified verilog files for usage with open tools, including new
   black box stubs have been created.

 * Too many numerous other changes to list here.

Signed-off-by: Kevin Kelley <kevin.kelley@skywatertechnology.com>
diff --git a/README.rst b/README.rst
index 479c4c2..8d0e1b9 100644
--- a/README.rst
+++ b/README.rst
@@ -1,5 +1,5 @@
 :lib:`sky130_fd_sc_hd` - SKY130 High Density Digital Standard Cells (SkyWater Provided)
 =======================================================================================
 
-Initial empty repository creation.
+Initial release of version (0, 0, 1).
 
diff --git a/cells/a2111o/definition.json b/cells/a2111o/definition.json
new file mode 100644
index 0000000..da45633
--- /dev/null
+++ b/cells/a2111o/definition.json
@@ -0,0 +1,72 @@
+{
+ "description": "2-input AND into first input of 4-input OR.",
+ "equation": "X = ((A1 & A2) | B1 | C1 | D1)",
+ "file_prefix": "sky130_fd_sc_hd__a2111o",
+ "library": "sky130_fd_sc_hd",
+ "name": "a2111o",
+ "parameters": [],
+ "ports": [
+  [
+   "signal",
+   "X",
+   "output",
+   ""
+  ],
+  [
+   "signal",
+   "A1",
+   "input",
+   ""
+  ],
+  [
+   "signal",
+   "A2",
+   "input",
+   ""
+  ],
+  [
+   "signal",
+   "B1",
+   "input",
+   ""
+  ],
+  [
+   "signal",
+   "C1",
+   "input",
+   ""
+  ],
+  [
+   "signal",
+   "D1",
+   "input",
+   ""
+  ],
+  [
+   "power",
+   "VPWR",
+   "input",
+   "supply1"
+  ],
+  [
+   "power",
+   "VGND",
+   "input",
+   "supply0"
+  ],
+  [
+   "power",
+   "VPB",
+   "input",
+   "supply1"
+  ],
+  [
+   "power",
+   "VNB",
+   "input",
+   "supply0"
+  ]
+ ],
+ "type": "cell",
+ "verilog_name": "sky130_fd_sc_hd__a2111o"
+}
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.behavioral.pp.v b/cells/a2111o/sky130_fd_sc_hd__a2111o.behavioral.pp.v
new file mode 100644
index 0000000..95c207b
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.behavioral.pp.v
@@ -0,0 +1,78 @@
+/*
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+*/
+
+
+`ifndef SKY130_FD_SC_HD__A2111O_BEHAVIORAL_PP_V
+`define SKY130_FD_SC_HD__A2111O_BEHAVIORAL_PP_V
+
+/**
+ * a2111o: 2-input AND into first input of 4-input OR.
+ *
+ *         X = ((A1 & A2) | B1 | C1 | D1)
+ *
+ * Verilog simulation functional model.
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+// Import user defined primitives.
+`include "../../models/udp_pwrgood_pp_pg/sky130_fd_sc_hd__udp_pwrgood_pp_pg.v"
+
+`celldefine
+module sky130_fd_sc_hd__a2111o (
+    X   ,
+    A1  ,
+    A2  ,
+    B1  ,
+    C1  ,
+    D1  ,
+    VPWR,
+    VGND,
+    VPB ,
+    VNB
+);
+
+    // Module ports
+    output X   ;
+    input  A1  ;
+    input  A2  ;
+    input  B1  ;
+    input  C1  ;
+    input  D1  ;
+    input  VPWR;
+    input  VGND;
+    input  VPB ;
+    input  VNB ;
+
+    // Local signals
+    wire and0_out         ;
+    wire or0_out_X        ;
+    wire pwrgood_pp0_out_X;
+
+    //                                 Name         Output             Other arguments
+    and                                and0        (and0_out         , A1, A2               );
+    or                                 or0         (or0_out_X        , C1, B1, and0_out, D1 );
+    sky130_fd_sc_hd__udp_pwrgood_pp$PG pwrgood_pp0 (pwrgood_pp0_out_X, or0_out_X, VPWR, VGND);
+    buf                                buf0        (X                , pwrgood_pp0_out_X    );
+
+endmodule
+`endcelldefine
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HD__A2111O_BEHAVIORAL_PP_V
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.behavioral.v b/cells/a2111o/sky130_fd_sc_hd__a2111o.behavioral.v
new file mode 100644
index 0000000..e083cb1
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.behavioral.v
@@ -0,0 +1,71 @@
+/*
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+*/
+
+
+`ifndef SKY130_FD_SC_HD__A2111O_BEHAVIORAL_V
+`define SKY130_FD_SC_HD__A2111O_BEHAVIORAL_V
+
+/**
+ * a2111o: 2-input AND into first input of 4-input OR.
+ *
+ *         X = ((A1 & A2) | B1 | C1 | D1)
+ *
+ * Verilog simulation functional model.
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+`celldefine
+module sky130_fd_sc_hd__a2111o (
+    X ,
+    A1,
+    A2,
+    B1,
+    C1,
+    D1
+);
+
+    // Module ports
+    output X ;
+    input  A1;
+    input  A2;
+    input  B1;
+    input  C1;
+    input  D1;
+
+    // Module supplies
+    supply1 VPWR;
+    supply0 VGND;
+    supply1 VPB ;
+    supply0 VNB ;
+
+    // Local signals
+    wire and0_out ;
+    wire or0_out_X;
+
+    //  Name  Output     Other arguments
+    and and0 (and0_out , A1, A2              );
+    or  or0  (or0_out_X, C1, B1, and0_out, D1);
+    buf buf0 (X        , or0_out_X           );
+
+endmodule
+`endcelldefine
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HD__A2111O_BEHAVIORAL_V
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.blackbox.v b/cells/a2111o/sky130_fd_sc_hd__a2111o.blackbox.v
new file mode 100644
index 0000000..2c7b0c2
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.blackbox.v
@@ -0,0 +1,61 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+
+`ifndef SKY130_FD_SC_HD__A2111O_BLACKBOX_V
+`define SKY130_FD_SC_HD__A2111O_BLACKBOX_V
+
+/**
+ * a2111o: 2-input AND into first input of 4-input OR.
+ *
+ *         X = ((A1 & A2) | B1 | C1 | D1)
+ *
+ * Verilog stub definition (black box without power pins).
+ *
+ * WARNING: This file is autogenerated, do not modify directly!
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+(* blackbox *)
+module sky130_fd_sc_hd__a2111o (
+    X ,
+    A1,
+    A2,
+    B1,
+    C1,
+    D1
+);
+
+    output X ;
+    input  A1;
+    input  A2;
+    input  B1;
+    input  C1;
+    input  D1;
+
+    // Voltage supply signals
+    supply1 VPWR;
+    supply0 VGND;
+    supply1 VPB ;
+    supply0 VNB ;
+
+endmodule
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HD__A2111O_BLACKBOX_V
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.functional.pp.v b/cells/a2111o/sky130_fd_sc_hd__a2111o.functional.pp.v
new file mode 100644
index 0000000..7856e6b
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.functional.pp.v
@@ -0,0 +1,78 @@
+/*
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+*/
+
+
+`ifndef SKY130_FD_SC_HD__A2111O_FUNCTIONAL_PP_V
+`define SKY130_FD_SC_HD__A2111O_FUNCTIONAL_PP_V
+
+/**
+ * a2111o: 2-input AND into first input of 4-input OR.
+ *
+ *         X = ((A1 & A2) | B1 | C1 | D1)
+ *
+ * Verilog simulation functional model.
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+// Import user defined primitives.
+`include "../../models/udp_pwrgood_pp_pg/sky130_fd_sc_hd__udp_pwrgood_pp_pg.v"
+
+`celldefine
+module sky130_fd_sc_hd__a2111o (
+    X   ,
+    A1  ,
+    A2  ,
+    B1  ,
+    C1  ,
+    D1  ,
+    VPWR,
+    VGND,
+    VPB ,
+    VNB
+);
+
+    // Module ports
+    output X   ;
+    input  A1  ;
+    input  A2  ;
+    input  B1  ;
+    input  C1  ;
+    input  D1  ;
+    input  VPWR;
+    input  VGND;
+    input  VPB ;
+    input  VNB ;
+
+    // Local signals
+    wire and0_out         ;
+    wire or0_out_X        ;
+    wire pwrgood_pp0_out_X;
+
+    //                                 Name         Output             Other arguments
+    and                                and0        (and0_out         , A1, A2               );
+    or                                 or0         (or0_out_X        , C1, B1, and0_out, D1 );
+    sky130_fd_sc_hd__udp_pwrgood_pp$PG pwrgood_pp0 (pwrgood_pp0_out_X, or0_out_X, VPWR, VGND);
+    buf                                buf0        (X                , pwrgood_pp0_out_X    );
+
+endmodule
+`endcelldefine
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HD__A2111O_FUNCTIONAL_PP_V
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v b/cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v
new file mode 100644
index 0000000..b42a523
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v
@@ -0,0 +1,65 @@
+/*
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+*/
+
+
+`ifndef SKY130_FD_SC_HD__A2111O_FUNCTIONAL_V
+`define SKY130_FD_SC_HD__A2111O_FUNCTIONAL_V
+
+/**
+ * a2111o: 2-input AND into first input of 4-input OR.
+ *
+ *         X = ((A1 & A2) | B1 | C1 | D1)
+ *
+ * Verilog simulation functional model.
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+`celldefine
+module sky130_fd_sc_hd__a2111o (
+    X ,
+    A1,
+    A2,
+    B1,
+    C1,
+    D1
+);
+
+    // Module ports
+    output X ;
+    input  A1;
+    input  A2;
+    input  B1;
+    input  C1;
+    input  D1;
+
+    // Local signals
+    wire and0_out ;
+    wire or0_out_X;
+
+    //  Name  Output     Other arguments
+    and and0 (and0_out , A1, A2              );
+    or  or0  (or0_out_X, C1, B1, and0_out, D1);
+    buf buf0 (X        , or0_out_X           );
+
+endmodule
+`endcelldefine
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HD__A2111O_FUNCTIONAL_V
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.json b/cells/a2111o/sky130_fd_sc_hd__a2111o.json
new file mode 100644
index 0000000..8a5abe7
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.json
@@ -0,0 +1,207 @@
+{
+  "creator": "Yosys 0.9+2406 (git sha1 46ed0db2, clang 7.0.1-8 -fPIC -Os)",
+  "modules": {
+    "sky130_fd_sc_hd__a2111o": {
+      "attributes": {
+        "top": 1,
+        "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:35.1-61.10"
+      },
+      "ports": {
+        "X": {
+          "direction": "output",
+          "bits": [ 2 ]
+        },
+        "A1": {
+          "direction": "input",
+          "bits": [ 3 ]
+        },
+        "A2": {
+          "direction": "input",
+          "bits": [ 4 ]
+        },
+        "B1": {
+          "direction": "input",
+          "bits": [ 5 ]
+        },
+        "C1": {
+          "direction": "input",
+          "bits": [ 6 ]
+        },
+        "D1": {
+          "direction": "input",
+          "bits": [ 7 ]
+        }
+      },
+      "cells": {
+        "$and$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:57$1": {
+          "hide_name": 1,
+          "type": "$and",
+          "parameters": {
+            "A_SIGNED": 0,
+            "A_WIDTH": 1,
+            "B_SIGNED": 0,
+            "B_WIDTH": 1,
+            "Y_WIDTH": 1
+          },
+          "attributes": {
+            "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:57.9-57.47"
+          },
+          "port_directions": {
+            "A": "input",
+            "B": "input",
+            "Y": "output"
+          },
+          "connections": {
+            "A": [ 3 ],
+            "B": [ 4 ],
+            "Y": [ 8 ]
+          }
+        },
+        "$or$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58$2": {
+          "hide_name": 1,
+          "type": "$or",
+          "parameters": {
+            "A_SIGNED": 0,
+            "A_WIDTH": 1,
+            "B_SIGNED": 0,
+            "B_WIDTH": 1,
+            "Y_WIDTH": 1
+          },
+          "attributes": {
+            "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58.9-58.47"
+          },
+          "port_directions": {
+            "A": "input",
+            "B": "input",
+            "Y": "output"
+          },
+          "connections": {
+            "A": [ 6 ],
+            "B": [ 5 ],
+            "Y": [ 9 ]
+          }
+        },
+        "$or$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58$3": {
+          "hide_name": 1,
+          "type": "$or",
+          "parameters": {
+            "A_SIGNED": 0,
+            "A_WIDTH": 1,
+            "B_SIGNED": 0,
+            "B_WIDTH": 1,
+            "Y_WIDTH": 1
+          },
+          "attributes": {
+            "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58.9-58.47"
+          },
+          "port_directions": {
+            "A": "input",
+            "B": "input",
+            "Y": "output"
+          },
+          "connections": {
+            "A": [ 9 ],
+            "B": [ 8 ],
+            "Y": [ 10 ]
+          }
+        },
+        "$or$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58$4": {
+          "hide_name": 1,
+          "type": "$or",
+          "parameters": {
+            "A_SIGNED": 0,
+            "A_WIDTH": 1,
+            "B_SIGNED": 0,
+            "B_WIDTH": 1,
+            "Y_WIDTH": 1
+          },
+          "attributes": {
+            "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58.9-58.47"
+          },
+          "port_directions": {
+            "A": "input",
+            "B": "input",
+            "Y": "output"
+          },
+          "connections": {
+            "A": [ 10 ],
+            "B": [ 7 ],
+            "Y": [ 2 ]
+          }
+        }
+      },
+      "netnames": {
+        "$or$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58$2_Y": {
+          "hide_name": 1,
+          "bits": [ 9 ],
+          "attributes": {
+            "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58.9-58.47"
+          }
+        },
+        "$or$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58$3_Y": {
+          "hide_name": 1,
+          "bits": [ 10 ],
+          "attributes": {
+            "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58.9-58.47"
+          }
+        },
+        "A1": {
+          "hide_name": 0,
+          "bits": [ 3 ],
+          "attributes": {
+            "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:46.12-46.14"
+          }
+        },
+        "A2": {
+          "hide_name": 0,
+          "bits": [ 4 ],
+          "attributes": {
+            "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:47.12-47.14"
+          }
+        },
+        "B1": {
+          "hide_name": 0,
+          "bits": [ 5 ],
+          "attributes": {
+            "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:48.12-48.14"
+          }
+        },
+        "C1": {
+          "hide_name": 0,
+          "bits": [ 6 ],
+          "attributes": {
+            "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:49.12-49.14"
+          }
+        },
+        "D1": {
+          "hide_name": 0,
+          "bits": [ 7 ],
+          "attributes": {
+            "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:50.12-50.14"
+          }
+        },
+        "X": {
+          "hide_name": 0,
+          "bits": [ 2 ],
+          "attributes": {
+            "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:45.12-45.13"
+          }
+        },
+        "and0_out": {
+          "hide_name": 0,
+          "bits": [ 8 ],
+          "attributes": {
+            "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:53.10-53.18"
+          }
+        },
+        "or0_out_X": {
+          "hide_name": 0,
+          "bits": [ 2 ],
+          "attributes": {
+            "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:54.10-54.19"
+          }
+        }
+      }
+    }
+  }
+}
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.pp.blackbox.v b/cells/a2111o/sky130_fd_sc_hd__a2111o.pp.blackbox.v
new file mode 100644
index 0000000..89c1ba5
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.pp.blackbox.v
@@ -0,0 +1,62 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+
+`ifndef SKY130_FD_SC_HD__A2111O_PP_BLACKBOX_V
+`define SKY130_FD_SC_HD__A2111O_PP_BLACKBOX_V
+
+/**
+ * a2111o: 2-input AND into first input of 4-input OR.
+ *
+ *         X = ((A1 & A2) | B1 | C1 | D1)
+ *
+ * Verilog stub definition (black box with power pins).
+ *
+ * WARNING: This file is autogenerated, do not modify directly!
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+(* blackbox *)
+module sky130_fd_sc_hd__a2111o (
+    X   ,
+    A1  ,
+    A2  ,
+    B1  ,
+    C1  ,
+    D1  ,
+    VPWR,
+    VGND,
+    VPB ,
+    VNB
+);
+
+    output X   ;
+    input  A1  ;
+    input  A2  ;
+    input  B1  ;
+    input  C1  ;
+    input  D1  ;
+    input  VPWR;
+    input  VGND;
+    input  VPB ;
+    input  VNB ;
+endmodule
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HD__A2111O_PP_BLACKBOX_V
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.pp.symbol.svg b/cells/a2111o/sky130_fd_sc_hd__a2111o.pp.symbol.svg
new file mode 100644
index 0000000..23b262f
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.pp.symbol.svg
@@ -0,0 +1,75 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no"?>
+<!-- Created by Symbolator http://kevinpt.github.io/symbolator -->
+<svg xmlns="http://www.w3.org/2000/svg"
+xmlns:xlink="http://www.w3.org/1999/xlink"
+xml:space="preserve"
+width="191" height="339" viewBox="-25 -56 191.0 339.0" version="1.1">
+<style type="text/css">
+<![CDATA[
+.fnt1 { fill:#000000; font-family:Times; font-size:12pt; font-weight:normal; font-style:italic; }
+.fnt2 { fill:#000000; font-family:Helvetica; font-size:12pt; font-weight:normal; font-style:normal; }
+.fnt3 { fill:#000000; font-family:Helvetica; font-size:16pt; font-weight:bold; font-style:normal; }
+.fnt4 { fill:#000000; font-family:Helvetica; font-size:10pt; font-style:normal; }
+.label {fill:#000;
+  text-anchor:middle;
+  font-size:16pt; font-weight:bold; font-family:Sans;}
+.link {fill: #0D47A1;}
+.link:hover {fill: #0D47A1; text-decoration:underline;}
+.link:visited {fill: #4A148C;}
+]]>
+</style>
+<defs>
+
+</defs>
+<rect x="-25" y="-56" width="100%" height="100%" fill="white"/><g transform="translate(0,0)">
+<rect x="0" y="-21.0" width="140" height="149.0" stroke="#000000" fill="#CCFED2" stroke-width="1"/>
+<text class="fnt1" x="70.0" y="-5.0" text-anchor="middle" dy="5.5">Data Signals</text>
+<g transform="translate(0,19)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">A1</text>
+</g>
+<g transform="translate(0,41)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">A2</text>
+</g>
+<g transform="translate(0,63)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">B1</text>
+</g>
+<g transform="translate(0,85)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">C1</text>
+</g>
+<g transform="translate(0,107)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">D1</text>
+</g>
+<g transform="translate(140,19)">
+<line x1="20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="-10" y="0" text-anchor="end" dy="5.5">X</text>
+</g>
+</g>
+<g transform="translate(0,149.0)">
+<rect x="0" y="-21.0" width="140" height="127.0" stroke="#000000" fill="#FFBBBB" stroke-width="1"/>
+<text class="fnt1" x="70.0" y="-5.0" text-anchor="middle" dy="5.5">Power</text>
+<g transform="translate(0,19)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">VPB</text>
+</g>
+<g transform="translate(0,41)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">VPWR</text>
+</g>
+<g transform="translate(0,63)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">VGND</text>
+</g>
+<g transform="translate(0,85)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">VNB</text>
+</g>
+</g>
+<rect x="1.0" y="-20.0" width="138.0" height="274.0" stroke="#000000" fill="none" stroke-width="3"/>
+<text class="fnt3" x="70.0" y="-30.0" text-anchor="middle" dy="-1.0">a2111o</text>
+<text class="fnt4" x="70.0" y="274.0" text-anchor="middle" dy="1.0">sky130_fd_sc_hd</text>
+</svg>
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.pp.symbol.v b/cells/a2111o/sky130_fd_sc_hd__a2111o.pp.symbol.v
new file mode 100644
index 0000000..2aaeb8f
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.pp.symbol.v
@@ -0,0 +1,55 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+
+`ifndef SKY130_FD_SC_HD__A2111O_PP_SYMBOL_V
+`define SKY130_FD_SC_HD__A2111O_PP_SYMBOL_V
+
+/**
+ * a2111o: 2-input AND into first input of 4-input OR.
+ *
+ *         X = ((A1 & A2) | B1 | C1 | D1)
+ *
+ * Verilog stub (with power pins) for graphical symbol definition
+ * generation.
+ *
+ * WARNING: This file is autogenerated, do not modify directly!
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+(* blackbox *)
+module sky130_fd_sc_hd__a2111o (
+    //# {{data|Data Signals}}
+    input  A1  ,
+    input  A2  ,
+    input  B1  ,
+    input  C1  ,
+    input  D1  ,
+    output X   ,
+
+    //# {{power|Power}}
+    input  VPB ,
+    input  VPWR,
+    input  VGND,
+    input  VNB
+);
+endmodule
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HD__A2111O_PP_SYMBOL_V
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.schematic.svg b/cells/a2111o/sky130_fd_sc_hd__a2111o.schematic.svg
new file mode 100644
index 0000000..21415db
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.schematic.svg
@@ -0,0 +1,114 @@
+<svg xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" xmlns:s="https://github.com/nturley/netlistsvg" width="314" height="249">
+  <style>svg {
+    stroke:#000;
+    fill:none;
+  }
+  text {
+    fill:#000;
+    stroke:none;
+    font-size:10px;
+    font-weight: bold;
+    font-family: "Courier New", monospace;
+  }
+  .nodelabel {
+    text-anchor: middle;
+  }
+  .inputPortLabel {
+    text-anchor: end;
+  }
+  .splitjoinBody {
+    fill:#000;
+  }</style>
+  <g s:type="and" transform="translate(77,157)" s:width="30" s:height="25" id="cell_$and$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:57$1">
+    <s:alias val="$and"/>
+    <s:alias val="$logic_and"/>
+    <s:alias val="$_AND_"/>
+    <path d="M0,0 L0,25 L15,25 A15 12.5 0 0 0 15,0 Z" class="cell_$and$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:57$1"/>
+    <g s:x="0" s:y="5" s:pid="A"/>
+    <g s:x="0" s:y="20" s:pid="B"/>
+    <g s:x="30" s:y="12.5" s:pid="Y"/>
+  </g>
+  <g s:type="or" transform="translate(77,27)" s:width="30" s:height="25" id="cell_$or$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58$2">
+    <s:alias val="$or"/>
+    <s:alias val="$logic_or"/>
+    <s:alias val="$_OR_"/>
+    <path d="M0,25 L0,25 L15,25 A15 12.5 0 0 0 15,0 L0,0" class="cell_$or$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58$2"/>
+    <path d="M0,0 A30 25 0 0 1 0,25" class="cell_$or$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58$2"/>
+    <g s:x="3" s:y="5" s:pid="A"/>
+    <g s:x="3" s:y="20" s:pid="B"/>
+    <g s:x="30" s:y="12.5" s:pid="Y"/>
+  </g>
+  <g s:type="or" transform="translate(142,34.5)" s:width="30" s:height="25" id="cell_$or$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58$3">
+    <s:alias val="$or"/>
+    <s:alias val="$logic_or"/>
+    <s:alias val="$_OR_"/>
+    <path d="M0,25 L0,25 L15,25 A15 12.5 0 0 0 15,0 L0,0" class="cell_$or$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58$3"/>
+    <path d="M0,0 A30 25 0 0 1 0,25" class="cell_$or$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58$3"/>
+    <g s:x="3" s:y="5" s:pid="A"/>
+    <g s:x="3" s:y="20" s:pid="B"/>
+    <g s:x="30" s:y="12.5" s:pid="Y"/>
+  </g>
+  <g s:type="or" transform="translate(207,42)" s:width="30" s:height="25" id="cell_$or$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58$4">
+    <s:alias val="$or"/>
+    <s:alias val="$logic_or"/>
+    <s:alias val="$_OR_"/>
+    <path d="M0,25 L0,25 L15,25 A15 12.5 0 0 0 15,0 L0,0" class="cell_$or$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58$4"/>
+    <path d="M0,0 A30 25 0 0 1 0,25" class="cell_$or$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58$4"/>
+    <g s:x="3" s:y="5" s:pid="A"/>
+    <g s:x="3" s:y="20" s:pid="B"/>
+    <g s:x="30" s:y="12.5" s:pid="Y"/>
+  </g>
+  <g s:type="outputExt" transform="translate(272,44.5)" s:width="30" s:height="20" id="cell_X">
+    <text x="15" y="-4" class="nodelabel cell_X" s:attribute="ref">X</text>
+    <s:alias val="$_outputExt_"/>
+    <path d="M30,0 L30,20 L15,20 L0,10 L15,0 Z" class="cell_X"/>
+    <g s:x="0" s:y="10" s:pid="A"/>
+  </g>
+  <g s:type="inputExt" transform="translate(12,152)" s:width="30" s:height="20" id="cell_A1">
+    <text x="15" y="-4" class="nodelabel cell_A1" s:attribute="ref">A1</text>
+    <s:alias val="$_inputExt_"/>
+    <path d="M0,0 L0,20 L15,20 L30,10 L15,0 Z" class="cell_A1"/>
+    <g s:x="28" s:y="10" s:pid="Y"/>
+  </g>
+  <g s:type="inputExt" transform="translate(12,217)" s:width="30" s:height="20" id="cell_A2">
+    <text x="15" y="-4" class="nodelabel cell_A2" s:attribute="ref">A2</text>
+    <s:alias val="$_inputExt_"/>
+    <path d="M0,0 L0,20 L15,20 L30,10 L15,0 Z" class="cell_A2"/>
+    <g s:x="28" s:y="10" s:pid="Y"/>
+  </g>
+  <g s:type="inputExt" transform="translate(12,87)" s:width="30" s:height="20" id="cell_B1">
+    <text x="15" y="-4" class="nodelabel cell_B1" s:attribute="ref">B1</text>
+    <s:alias val="$_inputExt_"/>
+    <path d="M0,0 L0,20 L15,20 L30,10 L15,0 Z" class="cell_B1"/>
+    <g s:x="28" s:y="10" s:pid="Y"/>
+  </g>
+  <g s:type="inputExt" transform="translate(12,22)" s:width="30" s:height="20" id="cell_C1">
+    <text x="15" y="-4" class="nodelabel cell_C1" s:attribute="ref">C1</text>
+    <s:alias val="$_inputExt_"/>
+    <path d="M0,0 L0,20 L15,20 L30,10 L15,0 Z" class="cell_C1"/>
+    <g s:x="28" s:y="10" s:pid="Y"/>
+  </g>
+  <g s:type="inputExt" transform="translate(142,104.5)" s:width="30" s:height="20" id="cell_D1">
+    <text x="15" y="-4" class="nodelabel cell_D1" s:attribute="ref">D1</text>
+    <s:alias val="$_inputExt_"/>
+    <path d="M0,0 L0,20 L15,20 L30,10 L15,0 Z" class="cell_D1"/>
+    <g s:x="28" s:y="10" s:pid="Y"/>
+  </g>
+  <line x1="40" x2="77" y1="162" y2="162" class="net_3"/>
+  <line x1="40" x2="52" y1="227" y2="227" class="net_4"/>
+  <line x1="52" x2="52" y1="227" y2="177" class="net_4"/>
+  <line x1="52" x2="77" y1="177" y2="177" class="net_4"/>
+  <line x1="40" x2="80" y1="32" y2="32" class="net_6"/>
+  <line x1="40" x2="52" y1="97" y2="97" class="net_5"/>
+  <line x1="52" x2="52" y1="97" y2="47" class="net_5"/>
+  <line x1="52" x2="80" y1="47" y2="47" class="net_5"/>
+  <line x1="107" x2="145" y1="39.5" y2="39.5" class="net_9"/>
+  <line x1="107" x2="117" y1="169.5" y2="169.5" class="net_8"/>
+  <line x1="117" x2="117" y1="169.5" y2="54.5" class="net_8"/>
+  <line x1="117" x2="145" y1="54.5" y2="54.5" class="net_8"/>
+  <line x1="172" x2="210" y1="47" y2="47" class="net_10"/>
+  <line x1="170" x2="182" y1="114.5" y2="114.5" class="net_7"/>
+  <line x1="182" x2="182" y1="114.5" y2="62" class="net_7"/>
+  <line x1="182" x2="210" y1="62" y2="62" class="net_7"/>
+  <line x1="237" x2="272" y1="54.5" y2="54.5" class="net_2"/>
+</svg>
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.specify.v b/cells/a2111o/sky130_fd_sc_hd__a2111o.specify.v
new file mode 100644
index 0000000..30d6e9d
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.specify.v
@@ -0,0 +1,31 @@
+/*
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+*/
+
+specify
+(A1 +=> X) = (0:0:0,0:0:0);
+(A2 +=> X) = (0:0:0,0:0:0);
+if ((!A1&!A2&!C1&!D1)) (B1 +=> X) = (0:0:0,0:0:0);
+if ((!A1&A2&!C1&!D1)) (B1 +=> X) = (0:0:0,0:0:0);
+if ((A1&!A2&!C1&!D1)) (B1 +=> X) = (0:0:0,0:0:0);
+if ((!A1&!A2&!B1&!D1)) (C1 +=> X) = (0:0:0,0:0:0);
+if ((!A1&A2&!B1&!D1)) (C1 +=> X) = (0:0:0,0:0:0);
+if ((A1&!A2&!B1&!D1)) (C1 +=> X) = (0:0:0,0:0:0);
+if ((!A1&!A2&!B1&!C1)) (D1 +=> X) = (0:0:0,0:0:0);
+if ((!A1&A2&!B1&!C1)) (D1 +=> X) = (0:0:0,0:0:0);
+if ((A1&!A2&!B1&!C1)) (D1 +=> X) = (0:0:0,0:0:0);
+endspecify
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.symbol.svg b/cells/a2111o/sky130_fd_sc_hd__a2111o.symbol.svg
new file mode 100644
index 0000000..1c0813f
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.symbol.svg
@@ -0,0 +1,55 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no"?>
+<!-- Created by Symbolator http://kevinpt.github.io/symbolator -->
+<svg xmlns="http://www.w3.org/2000/svg"
+xmlns:xlink="http://www.w3.org/1999/xlink"
+xml:space="preserve"
+width="191" height="212" viewBox="-25 -56 191.0 212.0" version="1.1">
+<style type="text/css">
+<![CDATA[
+.fnt1 { fill:#000000; font-family:Times; font-size:12pt; font-weight:normal; font-style:italic; }
+.fnt2 { fill:#000000; font-family:Helvetica; font-size:12pt; font-weight:normal; font-style:normal; }
+.fnt3 { fill:#000000; font-family:Helvetica; font-size:16pt; font-weight:bold; font-style:normal; }
+.fnt4 { fill:#000000; font-family:Helvetica; font-size:10pt; font-style:normal; }
+.label {fill:#000;
+  text-anchor:middle;
+  font-size:16pt; font-weight:bold; font-family:Sans;}
+.link {fill: #0D47A1;}
+.link:hover {fill: #0D47A1; text-decoration:underline;}
+.link:visited {fill: #4A148C;}
+]]>
+</style>
+<defs>
+
+</defs>
+<rect x="-25" y="-56" width="100%" height="100%" fill="white"/><g transform="translate(0,0)">
+<rect x="0" y="-21.0" width="140" height="149.0" stroke="#000000" fill="#CCFED2" stroke-width="1"/>
+<text class="fnt1" x="70.0" y="-5.0" text-anchor="middle" dy="5.5">Data Signals</text>
+<g transform="translate(0,19)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">A1</text>
+</g>
+<g transform="translate(0,41)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">A2</text>
+</g>
+<g transform="translate(0,63)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">B1</text>
+</g>
+<g transform="translate(0,85)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">C1</text>
+</g>
+<g transform="translate(0,107)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">D1</text>
+</g>
+<g transform="translate(140,19)">
+<line x1="20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="-10" y="0" text-anchor="end" dy="5.5">X</text>
+</g>
+</g>
+<rect x="1.0" y="-20.0" width="138.0" height="147.0" stroke="#000000" fill="none" stroke-width="3"/>
+<text class="fnt3" x="70.0" y="-30.0" text-anchor="middle" dy="-1.0">a2111o</text>
+<text class="fnt4" x="70.0" y="147.0" text-anchor="middle" dy="1.0">sky130_fd_sc_hd</text>
+</svg>
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.symbol.v b/cells/a2111o/sky130_fd_sc_hd__a2111o.symbol.v
new file mode 100644
index 0000000..639fcf1
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.symbol.v
@@ -0,0 +1,56 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+
+`ifndef SKY130_FD_SC_HD__A2111O_SYMBOL_V
+`define SKY130_FD_SC_HD__A2111O_SYMBOL_V
+
+/**
+ * a2111o: 2-input AND into first input of 4-input OR.
+ *
+ *         X = ((A1 & A2) | B1 | C1 | D1)
+ *
+ * Verilog stub (without power pins) for graphical symbol definition
+ * generation.
+ *
+ * WARNING: This file is autogenerated, do not modify directly!
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+(* blackbox *)
+module sky130_fd_sc_hd__a2111o (
+    //# {{data|Data Signals}}
+    input  A1,
+    input  A2,
+    input  B1,
+    input  C1,
+    input  D1,
+    output X
+);
+
+    // Voltage supply signals
+    supply1 VPWR;
+    supply0 VGND;
+    supply1 VPB ;
+    supply0 VNB ;
+
+endmodule
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HD__A2111O_SYMBOL_V
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.tb.v b/cells/a2111o/sky130_fd_sc_hd__a2111o.tb.v
new file mode 100644
index 0000000..8dfe2d1
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.tb.v
@@ -0,0 +1,118 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+
+`ifndef SKY130_FD_SC_HD__A2111O_TB_V
+`define SKY130_FD_SC_HD__A2111O_TB_V
+
+/**
+ * a2111o: 2-input AND into first input of 4-input OR.
+ *
+ *         X = ((A1 & A2) | B1 | C1 | D1)
+ *
+ * Autogenerated test bench.
+ *
+ * WARNING: This file is autogenerated, do not modify directly!
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+`include "sky130_fd_sc_hd__a2111o.v"
+
+module top();
+
+    // Inputs are registered
+    reg A1;
+    reg A2;
+    reg B1;
+    reg C1;
+    reg D1;
+    reg VPWR;
+    reg VGND;
+    reg VPB;
+    reg VNB;
+
+    // Outputs are wires
+    wire X;
+
+    initial
+    begin
+        // Initial state is x for all inputs.
+        A1   = 1'bX;
+        A2   = 1'bX;
+        B1   = 1'bX;
+        C1   = 1'bX;
+        D1   = 1'bX;
+        VGND = 1'bX;
+        VNB  = 1'bX;
+        VPB  = 1'bX;
+        VPWR = 1'bX;
+
+        #20   A1   = 1'b0;
+        #40   A2   = 1'b0;
+        #60   B1   = 1'b0;
+        #80   C1   = 1'b0;
+        #100  D1   = 1'b0;
+        #120  VGND = 1'b0;
+        #140  VNB  = 1'b0;
+        #160  VPB  = 1'b0;
+        #180  VPWR = 1'b0;
+        #200  A1   = 1'b1;
+        #220  A2   = 1'b1;
+        #240  B1   = 1'b1;
+        #260  C1   = 1'b1;
+        #280  D1   = 1'b1;
+        #300  VGND = 1'b1;
+        #320  VNB  = 1'b1;
+        #340  VPB  = 1'b1;
+        #360  VPWR = 1'b1;
+        #380  A1   = 1'b0;
+        #400  A2   = 1'b0;
+        #420  B1   = 1'b0;
+        #440  C1   = 1'b0;
+        #460  D1   = 1'b0;
+        #480  VGND = 1'b0;
+        #500  VNB  = 1'b0;
+        #520  VPB  = 1'b0;
+        #540  VPWR = 1'b0;
+        #560  VPWR = 1'b1;
+        #580  VPB  = 1'b1;
+        #600  VNB  = 1'b1;
+        #620  VGND = 1'b1;
+        #640  D1   = 1'b1;
+        #660  C1   = 1'b1;
+        #680  B1   = 1'b1;
+        #700  A2   = 1'b1;
+        #720  A1   = 1'b1;
+        #740  VPWR = 1'bx;
+        #760  VPB  = 1'bx;
+        #780  VNB  = 1'bx;
+        #800  VGND = 1'bx;
+        #820  D1   = 1'bx;
+        #840  C1   = 1'bx;
+        #860  B1   = 1'bx;
+        #880  A2   = 1'bx;
+        #900  A1   = 1'bx;
+    end
+
+    sky130_fd_sc_hd__a2111o dut (.A1(A1), .A2(A2), .B1(B1), .C1(C1), .D1(D1), .VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB), .X(X));
+
+endmodule
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HD__A2111O_TB_V
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.v b/cells/a2111o/sky130_fd_sc_hd__a2111o.v
new file mode 100644
index 0000000..5bca548
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.v
@@ -0,0 +1,54 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+
+`ifndef SKY130_FD_SC_HD__A2111O_V
+`define SKY130_FD_SC_HD__A2111O_V
+
+/**
+ * a2111o: 2-input AND into first input of 4-input OR.
+ *
+ *         X = ((A1 & A2) | B1 | C1 | D1)
+ *
+ * Verilog top module.
+ *
+ * WARNING: This file is autogenerated, do not modify directly!
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+`ifdef USE_POWER_PINS
+
+`ifdef FUNCTIONAL
+`include "sky130_fd_sc_hd__a2111o.pp.functional.v"
+`else  // FUNCTIONAL
+`include "sky130_fd_sc_hd__a2111o.pp.behavioral.v"
+`endif // FUNCTIONAL
+
+`else  // USE_POWER_PINS
+
+`ifdef FUNCTIONAL
+`include "sky130_fd_sc_hd__a2111o.functional.v"
+`else  // FUNCTIONAL
+`include "sky130_fd_sc_hd__a2111o.behavioral.v"
+`endif // FUNCTIONAL
+
+`endif // USE_POWER_PINS
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HD__A2111O_V
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1.cdl b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.cdl
new file mode 100644
index 0000000..2750e77
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.cdl
@@ -0,0 +1,43 @@
+* Copyright 2020 The SkyWater PDK Authors
+*
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+*
+* SPDX-License-Identifier: Apache-2.0
+
+.SUBCKT sky130_fd_sc_hd__a2111o_1 A1 A2 B1 C1 D1 VGND VNB VPB VPWR X
+*.PININFO A1:I A2:I B1:I C1:I D1:I VGND:I VNB:I VPB:I VPWR:I X:O
+MMPA0 pndA A1 VPB phighvt m=1 w=1.0 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMPA1 pndA A2 VPB phighvt m=1 w=1.0 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMPB0 pndB B1 VPB phighvt m=1 w=1.0 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMPC0 pndC C1 VPB phighvt m=1 w=1.0 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMPD0 y D1 VPB phighvt m=1 w=1.0 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMIPX X y VPB phighvt m=1 w=1.0 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMNA0 y A1 VNB nshort m=1 w=0.65 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMNA1 sndA1 A2 VNB nshort m=1 w=0.65 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMNB0 y B1 VNB nshort m=1 w=0.65 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMNC0 y C1 VNB nshort m=1 w=0.65 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMND0 y D1 VNB nshort m=1 w=0.65 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMINX X y VNB nshort m=1 w=0.65 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+.ENDS sky130_fd_sc_hd__a2111o_1
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1.gds b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.gds
new file mode 100644
index 0000000..b4d1193
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.gds
Binary files differ
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1.lef b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.lef
new file mode 100644
index 0000000..eb0f9b4
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.lef
@@ -0,0 +1,130 @@
+# Copyright 2020 The SkyWater PDK Authors
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#     https://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+# SPDX-License-Identifier: Apache-2.0
+
+VERSION 5.5 ;
+NAMESCASESENSITIVE ON ;
+BUSBITCHARS "[]" ;
+DIVIDERCHAR "/" ;
+MACRO sky130_fd_sc_hd__a2111o_1
+  CLASS CORE ;
+  SOURCE USER ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.995000 3.290000 1.325000 ;
+        RECT 2.985000 0.285000 3.540000 0.845000 ;
+        RECT 2.985000 0.845000 3.290000 0.995000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.510000 1.025000 4.010000 1.290000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.400000 0.995000 2.680000 2.465000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.890000 1.050000 2.220000 2.465000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.290000 1.050000 1.720000 1.290000 ;
+        RECT 1.515000 1.290000 1.720000 2.465000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.504500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 0.255000 0.465000 1.620000 ;
+        RECT 0.135000 1.620000 0.390000 2.460000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 -0.085000 4.140000 0.085000 ;
+        RECT 0.635000  0.085000 1.310000 0.470000 ;
+        RECT 2.085000  0.085000 2.430000 0.485000 ;
+        RECT 3.715000  0.085000 3.955000 0.760000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 2.635000 4.140000 2.805000 ;
+        RECT 0.565000 1.815000 0.895000 2.635000 ;
+        RECT 3.325000 1.835000 3.540000 2.635000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.695000 0.650000 1.915000 0.655000 ;
+      RECT 0.695000 0.655000 2.805000 0.825000 ;
+      RECT 0.695000 0.825000 0.915000 1.465000 ;
+      RECT 0.695000 1.465000 1.345000 1.645000 ;
+      RECT 1.135000 1.645000 1.345000 2.460000 ;
+      RECT 1.585000 0.260000 1.915000 0.650000 ;
+      RECT 2.600000 0.260000 2.805000 0.655000 ;
+      RECT 2.860000 1.495000 3.990000 1.665000 ;
+      RECT 2.860000 1.665000 3.145000 2.460000 ;
+      RECT 3.720000 1.665000 3.990000 2.460000 ;
+  END
+END sky130_fd_sc_hd__a2111o_1
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1.magic.lef b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.magic.lef
new file mode 100644
index 0000000..e892626
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.magic.lef
@@ -0,0 +1,151 @@
+# Copyright 2020 The SkyWater PDK Authors
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#     https://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+# SPDX-License-Identifier: Apache-2.0
+
+VERSION 5.7 ;
+  NAMESCASESENSITIVE ON ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+UNITS
+  DATABASE MICRONS 200 ;
+END UNITS
+MACRO sky130_fd_sc_hd__a2111o_1
+  CLASS CORE ;
+  SOURCE USER ;
+  FOREIGN sky130_fd_sc_hd__a2111o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.995000 3.290000 1.325000 ;
+        RECT 2.985000 0.285000 3.540000 0.845000 ;
+        RECT 2.985000 0.845000 3.290000 0.995000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.510000 1.025000 4.010000 1.290000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.400000 0.995000 2.680000 2.465000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.890000 1.050000 2.220000 2.465000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.290000 1.050000 1.720000 1.290000 ;
+        RECT 1.515000 1.290000 1.720000 2.465000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.504500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 0.255000 0.465000 1.620000 ;
+        RECT 0.135000 1.620000 0.390000 2.460000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 -0.085000 4.140000 0.085000 ;
+        RECT 0.635000  0.085000 1.310000 0.470000 ;
+        RECT 2.085000  0.085000 2.430000 0.485000 ;
+        RECT 3.715000  0.085000 3.955000 0.760000 ;
+      LAYER mcon ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+        RECT 0.605000 -0.085000 0.775000 0.085000 ;
+        RECT 1.065000 -0.085000 1.235000 0.085000 ;
+        RECT 1.525000 -0.085000 1.695000 0.085000 ;
+        RECT 1.985000 -0.085000 2.155000 0.085000 ;
+        RECT 2.445000 -0.085000 2.615000 0.085000 ;
+        RECT 2.905000 -0.085000 3.075000 0.085000 ;
+        RECT 3.365000 -0.085000 3.535000 0.085000 ;
+        RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 2.635000 4.140000 2.805000 ;
+        RECT 0.565000 1.815000 0.895000 2.635000 ;
+        RECT 3.325000 1.835000 3.540000 2.635000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.635000 0.315000 2.805000 ;
+        RECT 0.605000 2.635000 0.775000 2.805000 ;
+        RECT 1.065000 2.635000 1.235000 2.805000 ;
+        RECT 1.525000 2.635000 1.695000 2.805000 ;
+        RECT 1.985000 2.635000 2.155000 2.805000 ;
+        RECT 2.445000 2.635000 2.615000 2.805000 ;
+        RECT 2.905000 2.635000 3.075000 2.805000 ;
+        RECT 3.365000 2.635000 3.535000 2.805000 ;
+        RECT 3.825000 2.635000 3.995000 2.805000 ;
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.695000 0.650000 1.915000 0.655000 ;
+      RECT 0.695000 0.655000 2.805000 0.825000 ;
+      RECT 0.695000 0.825000 0.915000 1.465000 ;
+      RECT 0.695000 1.465000 1.345000 1.645000 ;
+      RECT 1.135000 1.645000 1.345000 2.460000 ;
+      RECT 1.585000 0.260000 1.915000 0.650000 ;
+      RECT 2.600000 0.260000 2.805000 0.655000 ;
+      RECT 2.860000 1.495000 3.990000 1.665000 ;
+      RECT 2.860000 1.665000 3.145000 2.460000 ;
+      RECT 3.720000 1.665000 3.990000 2.460000 ;
+  END
+END sky130_fd_sc_hd__a2111o_1
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1.netlist.tsv b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.netlist.tsv
new file mode 100644
index 0000000..0079b69
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.netlist.tsv
@@ -0,0 +1,14 @@
+sky130_fd_sc_hd__a2111o_1	A1:I A2:I B1:I C1:I D1:I VGND:I VNB:I VPB:I VPWR:I X:O

+Name	Formula	nd	ng	ns	nb	mname	m	w	l	mult	sa	sb	sd	topography	area	perim

+MMPA0	MOSFET	pndA	A1	VPWR	VPB	phighvt	1	1.0	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMPA1	MOSFET	pndA	A2	VPWR	VPB	phighvt	1	1.0	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMPB0	MOSFET	pndB	B1	pndA	VPB	phighvt	1	1.0	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMPC0	MOSFET	pndC	C1	pndB	VPB	phighvt	1	1.0	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMPD0	MOSFET	y	D1	pndC	VPB	phighvt	1	1.0	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMIPX	MOSFET	X	y	VPWR	VPB	phighvt	1	1.0	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMNA0	MOSFET	y	A1	sndA1	VNB	nshort	1	0.65	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMNA1	MOSFET	sndA1	A2	VGND	VNB	nshort	1	0.65	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMNB0	MOSFET	y	B1	VGND	VNB	nshort	1	0.65	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMNC0	MOSFET	y	C1	VGND	VNB	nshort	1	0.65	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMND0	MOSFET	y	D1	VGND	VNB	nshort	1	0.65	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMINX	MOSFET	X	y	VGND	VNB	nshort	1	0.65	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1.spice b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.spice
new file mode 100644
index 0000000..8a18ce5
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.spice
@@ -0,0 +1,29 @@
+* NGSPICE file created from sky130_fd_sc_hd__a2111o_1.ext - technology: sky130A
+
+.subckt sky130_fd_sc_hd__a2111o_1 A1 A2 B1 C1 D1 VGND VNB VPB VPWR X
+M1000 VPWR a_85_193# X VPB phighvt w=1e+06u l=150000u
++  ad=5.35e+11p pd=5.07e+06u as=2.9e+11p ps=2.58e+06u
+M1001 VGND a_85_193# X VNB nshort w=650000u l=150000u
++  ad=9.7175e+11p pd=6.89e+06u as=2.145e+11p ps=1.96e+06u
+M1002 a_414_297# C1 a_334_297# VPB phighvt w=1e+06u l=150000u
++  ad=3.6e+11p pd=2.72e+06u as=2.5e+11p ps=2.5e+06u
+M1003 a_516_297# B1 a_414_297# VPB phighvt w=1e+06u l=150000u
++  ad=8.5e+11p pd=5.7e+06u as=0p ps=0u
+M1004 VGND C1 a_85_193# VNB nshort w=650000u l=150000u
++  ad=0p pd=0u as=5.72e+11p ps=4.36e+06u
+M1005 a_516_297# A2 VPWR VPB phighvt w=1e+06u l=150000u
++  ad=0p pd=0u as=0p ps=0u
+M1006 a_334_297# D1 a_85_193# VPB phighvt w=1e+06u l=150000u
++  ad=0p pd=0u as=3.85e+11p ps=2.77e+06u
+M1007 a_660_47# A1 a_85_193# VNB nshort w=650000u l=150000u
++  ad=1.6575e+11p pd=1.81e+06u as=0p ps=0u
+M1008 VGND A2 a_660_47# VNB nshort w=650000u l=150000u
++  ad=0p pd=0u as=0p ps=0u
+M1009 a_85_193# B1 VGND VNB nshort w=650000u l=150000u
++  ad=0p pd=0u as=0p ps=0u
+M1010 VPWR A1 a_516_297# VPB phighvt w=1e+06u l=150000u
++  ad=0p pd=0u as=0p ps=0u
+M1011 a_85_193# D1 VGND VNB nshort w=650000u l=150000u
++  ad=0p pd=0u as=0p ps=0u
+.ends
+
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1.svg b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.svg
new file mode 100644
index 0000000..f55242a
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.svg
@@ -0,0 +1,222 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<svg xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" width="1898pt" height="1059pt" viewBox="0 0 1898 1059" version="1.2">
+<defs>
+<clipPath id="clip1">
+  <path d="M 18.5 2.5 L 1898 2.5 L 1898 1059 L 18.5 1059 Z M 18.5 2.5 "/>
+</clipPath>
+<clipPath id="clip2">
+  <path d="M 343.5 112.5 L 1579.5 112.5 L 1579.5 551.5 L 343.5 551.5 Z M 343.5 112.5 "/>
+</clipPath>
+<image id="image381" width="32" height="32" xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAAAAABWESUoAAAAAmJLR0QA/4ePzL8AAAApSURBVDiNY2Rg+M/AiAczMdAe/P+PH9PBDYyj4cDAwDAaDjAwGg4QAAAzhF/RgilCzQAAAABJRU5ErkJggg=="/>
+<pattern id="pattern0" patternUnits="userSpaceOnUse" width="32" height="32"  patternTransform="matrix(1,0,0,1,0.5,1079.5)">
+  <use xlink:href="#image381"/>
+</pattern>
+<mask id="mask0">
+<rect x="0" y="0" width="1898" height="1059" style="fill:url(#pattern0);stroke:none;"/>
+</mask>
+<clipPath id="clip3">
+  <path d="M 935.5 879.5 L 982.5 879.5 L 982.5 926.5 L 935.5 926.5 Z M 434.5 885.5 L 481.5 885.5 L 481.5 931.5 L 434.5 931.5 Z M 434.5 885.5 "/>
+</clipPath>
+<pattern id="pattern1" patternUnits="userSpaceOnUse" width="32" height="32"  patternTransform="matrix(1,0,0,1,0.5,1079.5)">
+  <use xlink:href="#image381"/>
+</pattern>
+<mask id="mask1">
+<rect x="0" y="0" width="1898" height="1059" style="fill:url(#pattern1);stroke:none;"/>
+</mask>
+<clipPath id="clip4">
+  <path d="M 511.5 193.5 L 552.5 193.5 L 552.5 228.5 L 511.5 228.5 Z M 1369.5 193.5 L 1410.5 193.5 L 1410.5 228.5 L 1369.5 228.5 Z M 1254.5 193.5 L 1295.5 193.5 L 1295.5 228.5 L 1254.5 228.5 Z M 1060.5 193.5 L 1101.5 193.5 L 1101.5 228.5 L 1060.5 228.5 Z M 920.5 193.5 L 961.5 193.5 L 961.5 228.5 L 920.5 228.5 Z M 811.5 193.5 L 852.5 193.5 L 852.5 228.5 L 811.5 228.5 Z M 920.5 502.5 L 961.5 502.5 L 961.5 531.5 L 920.5 531.5 Z M 511.5 502.5 L 552.5 502.5 L 552.5 542.5 L 511.5 542.5 Z M 1060.5 502.5 L 1101.5 502.5 L 1101.5 544.5 L 1060.5 544.5 Z M 1254.5 502.5 L 1295.5 502.5 L 1295.5 544.5 L 1254.5 544.5 Z M 1209.5 544.5 L 1295.5 544.5 L 1295.5 546.5 L 1209.5 546.5 Z M 1369.5 502.5 L 1410.5 502.5 L 1410.5 546.5 L 1369.5 546.5 Z M 811.5 502.5 L 852.5 502.5 L 852.5 546.5 L 811.5 546.5 Z M 1367.5 546.5 L 1460.5 546.5 L 1460.5 568.5 L 1367.5 568.5 Z M 756.5 546.5 L 852.5 546.5 L 852.5 568.5 L 756.5 568.5 Z M 511.5 542.5 L 657.5 542.5 L 657.5 568.5 L 511.5 568.5 Z M 920.5 531.5 L 1002.5 531.5 L 1002.5 568.5 L 920.5 568.5 Z M 1209.5 546.5 L 1302.5 546.5 L 1302.5 568.5 L 1209.5 568.5 Z M 1060.5 544.5 L 1150.5 544.5 L 1150.5 568.5 L 1060.5 568.5 Z M 1209.5 568.5 L 1302.5 568.5 L 1302.5 614.5 L 1209.5 614.5 Z M 920.5 568.5 L 1002.5 568.5 L 1002.5 614.5 L 920.5 614.5 Z M 1060.5 568.5 L 1150.5 568.5 L 1150.5 614.5 L 1060.5 614.5 Z M 756.5 568.5 L 852.5 568.5 L 852.5 614.5 L 756.5 614.5 Z M 1367.5 568.5 L 1460.5 568.5 L 1460.5 614.5 L 1367.5 614.5 Z M 511.5 568.5 L 657.5 568.5 L 657.5 614.5 L 511.5 614.5 Z M 756.5 614.5 L 852.5 614.5 L 852.5 636.5 L 756.5 636.5 Z M 920.5 614.5 L 1002.5 614.5 L 1002.5 636.5 L 920.5 636.5 Z M 1367.5 614.5 L 1460.5 614.5 L 1460.5 644.5 L 1367.5 644.5 Z M 511.5 614.5 L 657.5 614.5 L 657.5 644.5 L 511.5 644.5 Z M 1209.5 614.5 L 1302.5 614.5 L 1302.5 644.5 L 1209.5 644.5 Z M 522.5 644.5 L 657.5 644.5 L 657.5 646.5 L 522.5 646.5 Z M 1060.5 614.5 L 1150.5 614.5 L 1150.5 646.5 L 1060.5 646.5 Z M 1060.5 646.5 L 1101.5 646.5 L 1101.5 666.5 L 1060.5 666.5 Z M 794.5 636.5 L 835.5 636.5 L 835.5 666.5 L 794.5 666.5 Z M 920.5 636.5 L 961.5 636.5 L 961.5 666.5 L 920.5 666.5 Z M 1256.5 644.5 L 1297.5 644.5 L 1297.5 666.5 L 1256.5 666.5 Z M 522.5 646.5 L 563.5 646.5 L 563.5 666.5 L 522.5 666.5 Z M 1367.5 644.5 L 1408.5 644.5 L 1408.5 666.5 L 1367.5 666.5 Z M 522.5 844.5 L 563.5 844.5 L 563.5 879.5 L 522.5 879.5 Z M 1367.5 844.5 L 1408.5 844.5 L 1408.5 879.5 L 1367.5 879.5 Z M 1256.5 844.5 L 1297.5 844.5 L 1297.5 879.5 L 1256.5 879.5 Z M 1060.5 844.5 L 1101.5 844.5 L 1101.5 879.5 L 1060.5 879.5 Z M 920.5 844.5 L 961.5 844.5 L 961.5 879.5 L 920.5 879.5 Z M 794.5 844.5 L 835.5 844.5 L 835.5 879.5 L 794.5 879.5 Z M 794.5 844.5 "/>
+</clipPath>
+<clipPath id="clip5">
+  <path d="M 432.5 666.5 L 522.5 666.5 L 522.5 682.5 L 432.5 682.5 Z M 835.5 666.5 L 920.5 666.5 L 920.5 685.5 L 835.5 685.5 Z M 432.5 682.5 L 522.5 682.5 L 522.5 729.5 L 432.5 729.5 Z M 835.5 685.5 L 920.5 685.5 L 920.5 732.5 L 835.5 732.5 Z M 1101.5 666.5 L 1256.5 666.5 L 1256.5 748.5 L 1101.5 748.5 Z M 1408.5 666.5 L 1488.5 666.5 L 1488.5 755.5 L 1408.5 755.5 Z M 961.5 666.5 L 1060.5 666.5 L 1060.5 775.5 L 961.5 775.5 Z M 432.5 729.5 L 522.5 729.5 L 522.5 775.5 L 432.5 775.5 Z M 835.5 732.5 L 920.5 732.5 L 920.5 778.5 L 835.5 778.5 Z M 563.5 666.5 L 794.5 666.5 L 794.5 786.5 L 563.5 786.5 Z M 1101.5 748.5 L 1256.5 748.5 L 1256.5 795.5 L 1101.5 795.5 Z M 1408.5 755.5 L 1488.5 755.5 L 1488.5 801.5 L 1408.5 801.5 Z M 961.5 775.5 L 1060.5 775.5 L 1060.5 822.5 L 961.5 822.5 Z M 432.5 775.5 L 522.5 775.5 L 522.5 822.5 L 432.5 822.5 Z M 835.5 778.5 L 920.5 778.5 L 920.5 825.5 L 835.5 825.5 Z M 563.5 786.5 L 794.5 786.5 L 794.5 833.5 L 563.5 833.5 Z M 432.5 822.5 L 522.5 822.5 L 522.5 844.5 L 432.5 844.5 Z M 1408.5 801.5 L 1488.5 801.5 L 1488.5 844.5 L 1408.5 844.5 Z M 1297.5 666.5 L 1367.5 666.5 L 1367.5 844.5 L 1297.5 844.5 Z M 563.5 833.5 L 794.5 833.5 L 794.5 844.5 L 563.5 844.5 Z M 961.5 822.5 L 1060.5 822.5 L 1060.5 844.5 L 961.5 844.5 Z M 835.5 825.5 L 920.5 825.5 L 920.5 844.5 L 835.5 844.5 Z M 1101.5 795.5 L 1256.5 795.5 L 1256.5 844.5 L 1101.5 844.5 Z M 1101.5 795.5 "/>
+</clipPath>
+<clipPath id="clip6">
+  <path d="M 1295.5 228.5 L 1369.5 228.5 L 1369.5 243.5 L 1295.5 243.5 Z M 552.5 228.5 L 625.5 228.5 L 625.5 250.5 L 552.5 250.5 Z M 432.5 228.5 L 511.5 228.5 L 511.5 257.5 L 432.5 257.5 Z M 705.5 228.5 L 811.5 228.5 L 811.5 257.5 L 705.5 257.5 Z M 1410.5 228.5 L 1489.5 228.5 L 1489.5 275.5 L 1410.5 275.5 Z M 1101.5 228.5 L 1254.5 228.5 L 1254.5 276.5 L 1101.5 276.5 Z M 1295.5 243.5 L 1369.5 243.5 L 1369.5 290.5 L 1295.5 290.5 Z M 552.5 250.5 L 625.5 250.5 L 625.5 297.5 L 552.5 297.5 Z M 705.5 257.5 L 811.5 257.5 L 811.5 304.5 L 705.5 304.5 Z M 432.5 257.5 L 511.5 257.5 L 511.5 304.5 L 432.5 304.5 Z M 1410.5 275.5 L 1489.5 275.5 L 1489.5 321.5 L 1410.5 321.5 Z M 1101.5 276.5 L 1254.5 276.5 L 1254.5 323.5 L 1101.5 323.5 Z M 1295.5 290.5 L 1369.5 290.5 L 1369.5 336.5 L 1295.5 336.5 Z M 552.5 297.5 L 625.5 297.5 L 625.5 343.5 L 552.5 343.5 Z M 432.5 304.5 L 511.5 304.5 L 511.5 350.5 L 432.5 350.5 Z M 1410.5 321.5 L 1489.5 321.5 L 1489.5 368.5 L 1410.5 368.5 Z M 1295.5 336.5 L 1369.5 336.5 L 1369.5 383.5 L 1295.5 383.5 Z M 552.5 343.5 L 625.5 343.5 L 625.5 390.5 L 552.5 390.5 Z M 432.5 350.5 L 511.5 350.5 L 511.5 397.5 L 432.5 397.5 Z M 1101.5 323.5 L 1254.5 323.5 L 1254.5 409.5 L 1101.5 409.5 Z M 1410.5 368.5 L 1489.5 368.5 L 1489.5 414.5 L 1410.5 414.5 Z M 705.5 304.5 L 811.5 304.5 L 811.5 439.5 L 705.5 439.5 Z M 432.5 397.5 L 511.5 397.5 L 511.5 444.5 L 432.5 444.5 Z M 1101.5 409.5 L 1254.5 409.5 L 1254.5 455.5 L 1101.5 455.5 Z M 705.5 439.5 L 811.5 439.5 L 811.5 485.5 L 705.5 485.5 Z M 432.5 444.5 L 511.5 444.5 L 511.5 491.5 L 432.5 491.5 Z M 1410.5 414.5 L 1489.5 414.5 L 1489.5 502.5 L 1410.5 502.5 Z M 1295.5 383.5 L 1369.5 383.5 L 1369.5 502.5 L 1295.5 502.5 Z M 552.5 390.5 L 625.5 390.5 L 625.5 502.5 L 552.5 502.5 Z M 705.5 485.5 L 811.5 485.5 L 811.5 502.5 L 705.5 502.5 Z M 1101.5 455.5 L 1254.5 455.5 L 1254.5 502.5 L 1101.5 502.5 Z M 852.5 228.5 L 920.5 228.5 L 920.5 502.5 L 852.5 502.5 Z M 961.5 228.5 L 1060.5 228.5 L 1060.5 502.5 L 961.5 502.5 Z M 432.5 491.5 L 511.5 491.5 L 511.5 502.5 L 432.5 502.5 Z M 432.5 491.5 "/>
+</clipPath>
+<clipPath id="clip7">
+  <path d="M 1367.5 666.5 L 1408.5 666.5 L 1408.5 844.5 L 1367.5 844.5 Z M 522.5 666.5 L 563.5 666.5 L 563.5 844.5 L 522.5 844.5 Z M 794.5 666.5 L 835.5 666.5 L 835.5 844.5 L 794.5 844.5 Z M 920.5 666.5 L 961.5 666.5 L 961.5 844.5 L 920.5 844.5 Z M 1060.5 666.5 L 1101.5 666.5 L 1101.5 844.5 L 1060.5 844.5 Z M 1256.5 666.5 L 1297.5 666.5 L 1297.5 844.5 L 1256.5 844.5 Z M 1256.5 666.5 "/>
+</clipPath>
+<image id="image382" width="32" height="32" xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAAAAABWESUoAAAAAmJLR0QA/4ePzL8AAAAtSURBVDiNY/jPwMDAwMDwHxeNWwZK49E7eFQwwnzDiINmGA0HhtFwGA0HdBoAtQDvIRl1O+YAAAAASUVORK5CYII="/>
+<pattern id="pattern2" patternUnits="userSpaceOnUse" width="32" height="32"  patternTransform="matrix(1,0,0,1,0.5,1079.5)">
+  <use xlink:href="#image382"/>
+</pattern>
+<mask id="mask2">
+<rect x="0" y="0" width="1898" height="1059" style="fill:url(#pattern2);stroke:none;"/>
+</mask>
+<clipPath id="clip8">
+  <path d="M 1369.5 228.5 L 1410.5 228.5 L 1410.5 502.5 L 1369.5 502.5 Z M 511.5 228.5 L 552.5 228.5 L 552.5 502.5 L 511.5 502.5 Z M 811.5 228.5 L 852.5 228.5 L 852.5 502.5 L 811.5 502.5 Z M 920.5 228.5 L 961.5 228.5 L 961.5 502.5 L 920.5 502.5 Z M 1060.5 228.5 L 1101.5 228.5 L 1101.5 502.5 L 1060.5 502.5 Z M 1254.5 228.5 L 1295.5 228.5 L 1295.5 502.5 L 1254.5 502.5 Z M 1254.5 228.5 "/>
+</clipPath>
+<image id="image383" width="32" height="32" xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAAAAABWESUoAAAAAmJLR0QA/4ePzL8AAAAtSURBVDiNY/jPwMDAwMDwHxfNCKUZGHHQBPQPEZphNBxgHsSvbjQcIPQICQcAbQzvIWHO67YAAAAASUVORK5CYII="/>
+<pattern id="pattern3" patternUnits="userSpaceOnUse" width="32" height="32"  patternTransform="matrix(1,0,0,1,0.5,1079.5)">
+  <use xlink:href="#image383"/>
+</pattern>
+<mask id="mask3">
+<rect x="0" y="0" width="1898" height="1059" style="fill:url(#pattern3);stroke:none;"/>
+</mask>
+<clipPath id="clip9">
+  <path d="M 395.5 141.5 L 1527.5 141.5 L 1527.5 187.5 L 395.5 187.5 Z M 1304.5 187.5 L 1363.5 187.5 L 1363.5 243.5 L 1304.5 243.5 Z M 549.5 187.5 L 640.5 187.5 L 640.5 250.5 L 549.5 250.5 Z M 705.5 235.5 L 763.5 235.5 L 763.5 257.5 L 705.5 257.5 Z M 432.5 235.5 L 501.5 235.5 L 501.5 257.5 L 432.5 257.5 Z M 1412.5 235.5 L 1486.5 235.5 L 1486.5 275.5 L 1412.5 275.5 Z M 1177.5 235.5 L 1255.5 235.5 L 1255.5 276.5 L 1177.5 276.5 Z M 1304.5 243.5 L 1363.5 243.5 L 1363.5 290.5 L 1304.5 290.5 Z M 549.5 250.5 L 640.5 250.5 L 640.5 297.5 L 549.5 297.5 Z M 432.5 257.5 L 501.5 257.5 L 501.5 304.5 L 432.5 304.5 Z M 705.5 257.5 L 763.5 257.5 L 763.5 304.5 L 705.5 304.5 Z M 1412.5 275.5 L 1486.5 275.5 L 1486.5 321.5 L 1412.5 321.5 Z M 1177.5 276.5 L 1255.5 276.5 L 1255.5 323.5 L 1177.5 323.5 Z M 1304.5 290.5 L 1363.5 290.5 L 1363.5 336.5 L 1304.5 336.5 Z M 549.5 297.5 L 640.5 297.5 L 640.5 343.5 L 549.5 343.5 Z M 432.5 304.5 L 501.5 304.5 L 501.5 350.5 L 432.5 350.5 Z M 1412.5 321.5 L 1486.5 321.5 L 1486.5 368.5 L 1412.5 368.5 Z M 1304.5 336.5 L 1363.5 336.5 L 1363.5 383.5 L 1304.5 383.5 Z M 549.5 343.5 L 640.5 343.5 L 640.5 390.5 L 549.5 390.5 Z M 432.5 350.5 L 501.5 350.5 L 501.5 397.5 L 432.5 397.5 Z M 1304.5 383.5 L 1363.5 383.5 L 1363.5 406.5 L 1304.5 406.5 Z M 1177.5 323.5 L 1255.5 323.5 L 1255.5 409.5 L 1177.5 409.5 Z M 549.5 390.5 L 640.5 390.5 L 640.5 412.5 L 549.5 412.5 Z M 1412.5 368.5 L 1486.5 368.5 L 1486.5 414.5 L 1412.5 414.5 Z M 705.5 304.5 L 763.5 304.5 L 763.5 439.5 L 705.5 439.5 Z M 432.5 397.5 L 501.5 397.5 L 501.5 444.5 L 432.5 444.5 Z M 1412.5 414.5 L 1486.5 414.5 L 1486.5 453.5 L 1412.5 453.5 Z M 1177.5 409.5 L 1255.5 409.5 L 1255.5 453.5 L 1177.5 453.5 Z M 1177.5 453.5 L 1486.5 453.5 L 1486.5 455.5 L 1177.5 455.5 Z M 705.5 439.5 L 763.5 439.5 L 763.5 458.5 L 705.5 458.5 Z M 432.5 444.5 L 501.5 444.5 L 501.5 465.5 L 432.5 465.5 Z M 585.5 458.5 L 763.5 458.5 L 763.5 485.5 L 585.5 485.5 Z M 432.5 465.5 L 522.5 465.5 L 522.5 491.5 L 432.5 491.5 Z M 1177.5 455.5 L 1486.5 455.5 L 1486.5 499.5 L 1177.5 499.5 Z M 585.5 485.5 L 763.5 485.5 L 763.5 507.5 L 585.5 507.5 Z M 809.5 234.5 L 865.5 234.5 L 865.5 555.5 L 809.5 555.5 Z M 585.5 507.5 L 645.5 507.5 L 645.5 568.5 L 585.5 568.5 Z M 1355.5 555.5 L 1492.5 555.5 L 1492.5 568.5 L 1355.5 568.5 Z M 1051.5 234.5 L 1128.5 234.5 L 1128.5 568.5 L 1051.5 568.5 Z M 912.5 234.5 L 1002.5 234.5 L 1002.5 568.5 L 912.5 568.5 Z M 1189.5 546.5 L 1295.5 546.5 L 1295.5 568.5 L 1189.5 568.5 Z M 748.5 555.5 L 865.5 555.5 L 865.5 568.5 L 748.5 568.5 Z M 1051.5 568.5 L 1128.5 568.5 L 1128.5 614.5 L 1051.5 614.5 Z M 912.5 568.5 L 1002.5 568.5 L 1002.5 614.5 L 912.5 614.5 Z M 748.5 568.5 L 865.5 568.5 L 865.5 614.5 L 748.5 614.5 Z M 1189.5 568.5 L 1295.5 568.5 L 1295.5 614.5 L 1189.5 614.5 Z M 585.5 568.5 L 645.5 568.5 L 645.5 614.5 L 585.5 614.5 Z M 1355.5 568.5 L 1492.5 568.5 L 1492.5 614.5 L 1355.5 614.5 Z M 748.5 614.5 L 865.5 614.5 L 865.5 621.5 L 748.5 621.5 Z M 912.5 614.5 L 1002.5 614.5 L 1002.5 621.5 L 912.5 621.5 Z M 1355.5 614.5 L 1492.5 614.5 L 1492.5 628.5 L 1355.5 628.5 Z M 1051.5 614.5 L 1128.5 614.5 L 1128.5 636.5 L 1051.5 636.5 Z M 1189.5 614.5 L 1295.5 614.5 L 1295.5 636.5 L 1189.5 636.5 Z M 1211.5 636.5 L 1295.5 636.5 L 1295.5 677.5 L 1211.5 677.5 Z M 585.5 614.5 L 645.5 614.5 L 645.5 682.5 L 585.5 682.5 Z M 432.5 491.5 L 522.5 491.5 L 522.5 682.5 L 432.5 682.5 Z M 585.5 682.5 L 1162.5 682.5 L 1162.5 685.5 L 585.5 685.5 Z M 432.5 682.5 L 522.5 682.5 L 522.5 729.5 L 432.5 729.5 Z M 585.5 685.5 L 1162.5 685.5 L 1162.5 729.5 L 585.5 729.5 Z M 585.5 729.5 L 919.5 729.5 L 919.5 730.5 L 585.5 730.5 Z M 828.5 730.5 L 919.5 730.5 L 919.5 732.5 L 828.5 732.5 Z M 1106.5 729.5 L 1162.5 729.5 L 1162.5 748.5 L 1106.5 748.5 Z M 1411.5 700.5 L 1477.5 700.5 L 1477.5 755.5 L 1411.5 755.5 Z M 432.5 729.5 L 522.5 729.5 L 522.5 775.5 L 432.5 775.5 Z M 828.5 732.5 L 919.5 732.5 L 919.5 778.5 L 828.5 778.5 Z M 568.5 780.5 L 753.5 780.5 L 753.5 786.5 L 568.5 786.5 Z M 1106.5 748.5 L 1162.5 748.5 L 1162.5 795.5 L 1106.5 795.5 Z M 1411.5 755.5 L 1477.5 755.5 L 1477.5 801.5 L 1411.5 801.5 Z M 432.5 775.5 L 522.5 775.5 L 522.5 822.5 L 432.5 822.5 Z M 965.5 775.5 L 1060.5 775.5 L 1060.5 822.5 L 965.5 822.5 Z M 828.5 778.5 L 919.5 778.5 L 919.5 825.5 L 828.5 825.5 Z M 1211.5 677.5 L 1363.5 677.5 L 1363.5 830.5 L 1211.5 830.5 Z M 568.5 786.5 L 753.5 786.5 L 753.5 833.5 L 568.5 833.5 Z M 1106.5 795.5 L 1162.5 795.5 L 1162.5 837.5 L 1106.5 837.5 Z M 828.5 825.5 L 919.5 825.5 L 919.5 837.5 L 828.5 837.5 Z M 432.5 822.5 L 522.5 822.5 L 522.5 838.5 L 432.5 838.5 Z M 1411.5 801.5 L 1477.5 801.5 L 1477.5 885.5 L 1411.5 885.5 Z M 568.5 833.5 L 753.5 833.5 L 753.5 885.5 L 568.5 885.5 Z M 965.5 822.5 L 1060.5 822.5 L 1060.5 885.5 L 965.5 885.5 Z M 395.5 885.5 L 1527.5 885.5 L 1527.5 931.5 L 395.5 931.5 Z M 395.5 885.5 "/>
+</clipPath>
+<clipPath id="clip10">
+  <path d="M 395.5 98.5 L 1527.5 98.5 L 1527.5 141.5 L 395.5 141.5 Z M 395.5 141.5 L 1527.5 141.5 L 1527.5 187.5 L 395.5 187.5 Z M 395.5 187.5 L 1527.5 187.5 L 1527.5 230.5 L 395.5 230.5 Z M 395.5 842.5 L 1527.5 842.5 L 1527.5 885.5 L 395.5 885.5 Z M 395.5 885.5 L 1527.5 885.5 L 1527.5 931.5 L 395.5 931.5 Z M 395.5 931.5 L 1527.5 931.5 L 1527.5 974.5 L 395.5 974.5 Z M 395.5 931.5 "/>
+</clipPath>
+<clipPath id="clip11">
+  <path d="M 434.5 141.5 L 481.5 141.5 L 481.5 187.5 L 434.5 187.5 Z M 1441.5 141.5 L 1488.5 141.5 L 1488.5 187.5 L 1441.5 187.5 Z M 1315.5 141.5 L 1362.5 141.5 L 1362.5 187.5 L 1315.5 187.5 Z M 1189.5 141.5 L 1236.5 141.5 L 1236.5 187.5 L 1189.5 187.5 Z M 1064.5 141.5 L 1110.5 141.5 L 1110.5 187.5 L 1064.5 187.5 Z M 938.5 141.5 L 984.5 141.5 L 984.5 187.5 L 938.5 187.5 Z M 812.5 141.5 L 858.5 141.5 L 858.5 187.5 L 812.5 187.5 Z M 686.5 141.5 L 733.5 141.5 L 733.5 187.5 L 686.5 187.5 Z M 560.5 141.5 L 607.5 141.5 L 607.5 187.5 L 560.5 187.5 Z M 434.5 885.5 L 481.5 885.5 L 481.5 931.5 L 434.5 931.5 Z M 1441.5 885.5 L 1488.5 885.5 L 1488.5 931.5 L 1441.5 931.5 Z M 1315.5 885.5 L 1362.5 885.5 L 1362.5 931.5 L 1315.5 931.5 Z M 1189.5 885.5 L 1236.5 885.5 L 1236.5 931.5 L 1189.5 931.5 Z M 1064.5 885.5 L 1110.5 885.5 L 1110.5 931.5 L 1064.5 931.5 Z M 938.5 885.5 L 984.5 885.5 L 984.5 931.5 L 938.5 931.5 Z M 812.5 885.5 L 858.5 885.5 L 858.5 931.5 L 812.5 931.5 Z M 686.5 885.5 L 733.5 885.5 L 733.5 931.5 L 686.5 931.5 Z M 560.5 885.5 L 607.5 885.5 L 607.5 931.5 L 560.5 931.5 Z M 560.5 885.5 "/>
+</clipPath>
+<image id="image384" width="32" height="32" xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAAAAABWESUoAAAAAmJLR0QA/4ePzL8AAAA9SURBVDiN7ZAhEgAgDMNS/v/nIsAgtnpuMzG7axsIJ9xTYEDUBJ/nkqGDQ48FulEFQ36uMR7o94+Hl3942JrBc/FcUmODAAAAAElFTkSuQmCC"/>
+<pattern id="pattern4" patternUnits="userSpaceOnUse" width="32" height="32"  patternTransform="matrix(1,0,0,1,0.5,1079.5)">
+  <use xlink:href="#image384"/>
+</pattern>
+<mask id="mask4">
+<rect x="0" y="0" width="1898" height="1059" style="fill:url(#pattern4);stroke:none;"/>
+</mask>
+</defs>
+<g id="surface378">
+<g clip-path="url(#clip1)" clip-rule="nonzero">
+
+</g>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,87.058824%,0%);stroke-opacity:0.496063;stroke-miterlimit:10;" d="M 343 967 L 1579 967 M 343 528 L 1579 528 M 343 528 L 343 967 M 1579 528 L 1579 967 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<g clip-path="url(#clip2)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(0%,87.058824%,0%);fill-opacity:0.496063;stroke:none;" mask="url(#mask0)"/>
+</g>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(78.431374%,45.490199%,10.196079%);stroke-opacity:0.496063;stroke-miterlimit:10;" d="M 434 194 L 481 194 M 434 148 L 481 148 M 434 148 L 434 194 M 481 148 L 481 194 M 935 200 L 982 200 M 935 153 L 982 153 M 935 153 L 935 200 M 982 153 L 982 200 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<g clip-path="url(#clip3)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(78.431374%,45.490199%,10.196079%);fill-opacity:0.496063;stroke:none;" mask="url(#mask1)"/>
+</g>
+<g clip-path="url(#clip4)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(92.54902%,0%,0%);fill-opacity:0.944882;stroke:none;"/>
+</g>
+<g clip-path="url(#clip5)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(0%,87.058824%,0%);fill-opacity:0.944882;stroke:none;"/>
+</g>
+<g clip-path="url(#clip6)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(78.431374%,45.490199%,10.196079%);fill-opacity:0.944882;stroke:none;"/>
+</g>
+<g clip-path="url(#clip7)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(52.549022%,22.745104%,0%);fill-opacity:0.944882;stroke:none;"/>
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(0%,87.058824%,0%);fill-opacity:0.944882;stroke:none;" mask="url(#mask2)"/>
+</g>
+<g clip-path="url(#clip8)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(64.313728%,0%,0%);fill-opacity:0.944882;stroke:none;"/>
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(78.431374%,45.490199%,10.196079%);fill-opacity:0.944882;stroke:none;" mask="url(#mask3)"/>
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(100%,100%,0%);fill-opacity:0.15748;stroke:none;"/>
+</g>
+<g clip-path="url(#clip9)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(18.039216%,50.196081%,100%);fill-opacity:0.629921;stroke:none;"/>
+</g>
+<g clip-path="url(#clip10)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(69.01961%,40.000004%,94.117647%);fill-opacity:0.629921;stroke:none;"/>
+</g>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,37.647063%,100%);stroke-opacity:0.944882;stroke-miterlimit:10;" d="M 434 938 L 481 938 M 434 892 L 481 892 M 434 892 L 434 938 M 481 892 L 481 938 M 560 938 L 607 938 M 560 892 L 607 892 M 560 892 L 560 938 M 607 892 L 607 938 M 686 938 L 733 938 M 686 892 L 733 892 M 686 892 L 686 938 M 733 892 L 733 938 M 812 938 L 858 938 M 812 892 L 858 892 M 812 892 L 812 938 M 858 892 L 858 938 M 938 938 L 984 938 M 938 892 L 984 892 M 938 892 L 938 938 M 984 892 L 984 938 M 1064 938 L 1110 938 M 1064 892 L 1110 892 M 1064 892 L 1064 938 M 1110 892 L 1110 938 M 1189 938 L 1236 938 M 1189 892 L 1236 892 M 1189 892 L 1189 938 M 1236 892 L 1236 938 M 1315 938 L 1362 938 M 1315 892 L 1362 892 M 1315 892 L 1315 938 M 1362 892 L 1362 938 M 1441 938 L 1488 938 M 1441 892 L 1488 892 M 1441 892 L 1441 938 M 1488 892 L 1488 938 M 434 194 L 481 194 M 434 148 L 481 148 M 434 148 L 434 194 M 481 148 L 481 194 M 560 194 L 607 194 M 560 148 L 607 148 M 560 148 L 560 194 M 607 148 L 607 194 M 686 194 L 733 194 M 686 148 L 733 148 M 686 148 L 686 194 M 733 148 L 733 194 M 812 194 L 858 194 M 812 148 L 858 148 M 812 148 L 812 194 M 858 148 L 858 194 M 938 194 L 984 194 M 938 148 L 984 148 M 938 148 L 938 194 M 984 148 L 984 194 M 1064 194 L 1110 194 M 1064 148 L 1110 148 M 1064 148 L 1064 194 M 1110 148 L 1110 194 M 1189 194 L 1236 194 M 1189 148 L 1236 148 M 1189 148 L 1189 194 M 1236 148 L 1236 194 M 1315 194 L 1362 194 M 1315 148 L 1362 148 M 1315 148 L 1315 194 M 1362 148 L 1362 194 M 1441 194 L 1488 194 M 1441 148 L 1488 148 M 1441 148 L 1441 194 M 1488 148 L 1488 194 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<g clip-path="url(#clip11)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(0%,37.647063%,100%);fill-opacity:0.944882;stroke:none;" mask="url(#mask4)"/>
+</g>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,0%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 448 822 L 495 822 M 448 775 L 495 775 M 448 775 L 448 822 M 495 775 L 495 822 M 448 729 L 495 729 M 448 682 L 495 682 M 448 682 L 448 729 M 495 682 L 495 729 M 448 635 L 495 635 M 448 588 L 495 588 M 448 588 L 448 635 M 495 588 L 495 635 M 567 829 L 614 829 M 567 782 L 614 782 M 567 782 L 567 829 M 614 782 L 614 829 M 567 736 L 614 736 M 567 689 L 614 689 M 567 689 L 567 736 M 614 689 L 614 736 M 716 822 L 763 822 M 716 775 L 763 775 M 716 775 L 716 822 M 763 775 L 763 822 M 716 640 L 763 640 M 716 594 L 763 594 M 716 594 L 716 640 M 763 594 L 763 640 M 1194 803 L 1240 803 M 1194 756 L 1240 756 M 1194 756 L 1194 803 M 1240 756 L 1240 803 M 1194 670 L 1240 670 M 1194 624 L 1240 624 M 1194 624 L 1194 670 M 1240 624 L 1240 670 M 1308 836 L 1355 836 M 1308 789 L 1355 789 M 1308 789 L 1308 836 M 1355 789 L 1355 836 M 1308 743 L 1355 743 M 1308 696 L 1355 696 M 1308 696 L 1308 743 M 1355 696 L 1355 743 M 1426 804 L 1473 804 M 1426 758 L 1473 758 M 1426 758 L 1426 804 M 1473 758 L 1473 804 M 1426 711 L 1473 711 M 1426 665 L 1473 665 M 1426 665 L 1426 711 M 1473 665 L 1473 711 M 592 511 L 638 511 M 592 465 L 638 465 M 592 465 L 592 511 M 638 465 L 638 511 M 790 511 L 837 511 M 790 465 L 837 465 M 790 465 L 790 511 M 837 465 L 837 511 M 934 511 L 980 511 M 934 465 L 980 465 M 934 465 L 934 511 M 980 465 L 980 511 M 1081 511 L 1128 511 M 1081 465 L 1128 465 M 1081 465 L 1081 511 M 1128 465 L 1128 511 M 1232 511 L 1278 511 M 1232 465 L 1278 465 M 1232 465 L 1232 511 M 1278 465 L 1278 511 M 1386 511 L 1433 511 M 1386 465 L 1433 465 M 1386 465 L 1386 511 M 1433 465 L 1433 511 M 454 397 L 500 397 M 454 350 L 500 350 M 454 350 L 454 397 M 500 350 L 500 397 M 454 304 L 500 304 M 454 257 L 500 257 M 454 257 L 454 304 M 500 257 L 500 304 M 590 293 L 637 293 M 590 246 L 637 246 M 590 246 L 590 293 M 637 246 L 637 293 M 685 293 L 731 293 M 685 246 L 731 246 M 685 246 L 685 293 M 731 246 L 731 293 M 850 394 L 897 394 M 850 347 L 897 347 M 850 347 L 850 394 M 897 347 L 897 394 M 850 301 L 897 301 M 850 254 L 897 254 M 850 254 L 850 301 M 897 254 L 897 301 M 987 304 L 1034 304 M 987 257 L 1034 257 M 987 257 L 987 304 M 1034 257 L 1034 304 M 1116 331 L 1162 331 M 1116 284 L 1162 284 M 1116 284 L 1116 331 M 1162 284 L 1162 331 M 1423 324 L 1470 324 M 1423 278 L 1470 278 M 1423 278 L 1423 324 M 1470 278 L 1470 324 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,0%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 448 775 L 495 822 M 448 822 L 495 775 M 448 682 L 495 729 M 448 729 L 495 682 M 448 588 L 495 635 M 448 635 L 495 588 M 567 782 L 614 829 M 567 829 L 614 782 M 567 689 L 614 736 M 567 736 L 614 689 M 716 775 L 763 822 M 716 822 L 763 775 M 716 594 L 763 640 M 716 640 L 763 594 M 1194 756 L 1240 803 M 1194 803 L 1240 756 M 1194 624 L 1240 670 M 1194 670 L 1240 624 M 1308 789 L 1355 836 M 1308 836 L 1355 789 M 1308 696 L 1355 743 M 1308 743 L 1355 696 M 1426 758 L 1473 804 M 1426 804 L 1473 758 M 1426 665 L 1473 711 M 1426 711 L 1473 665 M 592 465 L 638 511 M 592 511 L 638 465 M 790 465 L 837 511 M 790 511 L 837 465 M 934 465 L 980 511 M 934 511 L 980 465 M 1081 465 L 1128 511 M 1081 511 L 1128 465 M 1232 465 L 1278 511 M 1232 511 L 1278 465 M 1386 465 L 1433 511 M 1386 511 L 1433 465 M 454 350 L 500 397 M 454 397 L 500 350 M 454 257 L 500 304 M 454 304 L 500 257 M 590 246 L 637 293 M 590 293 L 637 246 M 685 246 L 731 293 M 685 293 L 731 246 M 850 347 L 897 394 M 850 394 L 897 347 M 850 254 L 897 301 M 850 301 L 897 254 M 987 257 L 1034 304 M 987 304 L 1034 257 M 1116 284 L 1162 331 M 1116 331 L 1162 284 M 1423 278 L 1470 324 M 1423 324 L 1470 278 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 431.191406 181.5 L 426.425781 181.5 L 413.929688 146.738281 L 418.699219 146.738281 L 428.902344 176.160156 L 438.535156 146.738281 L 443.257812 146.738281 Z M 431.191406 181.5 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 473.679688 156.941406 L 473.585938 158.46875 L 473.347656 159.851562 L 472.917969 161.136719 L 472.394531 162.28125 L 471.628906 163.332031 L 470.773438 164.285156 L 469.820312 165.097656 L 468.816406 165.714844 L 467.71875 166.191406 L 466.527344 166.527344 L 465.289062 166.71875 L 463.953125 166.765625 L 453.03125 166.765625 L 453.03125 181.5 L 448.597656 181.5 L 448.597656 146.738281 L 462.953125 146.738281 L 465.003906 146.878906 L 466.863281 147.214844 L 468.484375 147.785156 L 469.914062 148.597656 L 471.105469 149.597656 L 472.105469 150.839844 L 472.585938 151.742188 L 472.964844 152.648438 L 473.25 153.605469 L 473.488281 154.652344 L 473.632812 155.796875 Z M 453.03125 162.855469 L 462.285156 162.855469 L 463.570312 162.808594 L 464.714844 162.570312 L 465.765625 162.234375 L 466.671875 161.710938 L 467.433594 161.042969 L 468.101562 160.230469 L 468.339844 159.753906 L 468.578125 159.183594 L 468.769531 158.660156 L 468.914062 158.039062 L 469.007812 157.417969 L 469.054688 156.75 L 468.960938 155.605469 L 468.722656 154.558594 L 468.339844 153.652344 L 467.816406 152.839844 L 467.101562 152.171875 L 466.289062 151.601562 L 465.71875 151.363281 L 465.097656 151.125 L 464.429688 150.933594 L 463.761719 150.789062 L 463.046875 150.695312 L 462.285156 150.648438 L 453.03125 150.648438 Z M 453.03125 162.855469 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 505.726562 171.582031 L 505.628906 173.105469 L 505.390625 174.488281 L 504.960938 175.777344 L 504.390625 176.921875 L 503.628906 178.019531 L 502.722656 178.972656 L 501.71875 179.785156 L 500.671875 180.402344 L 499.480469 180.878906 L 498.238281 181.261719 L 496.902344 181.453125 L 495.472656 181.5 L 479.785156 181.5 L 479.785156 146.738281 L 493.898438 146.738281 L 495.949219 146.878906 L 497.761719 147.214844 L 499.382812 147.785156 L 500.765625 148.597656 L 501.957031 149.644531 L 502.914062 150.933594 L 503.292969 151.648438 L 503.628906 152.410156 L 503.867188 153.125 L 504.058594 153.9375 L 504.152344 154.75 L 504.199219 155.558594 L 504.058594 157.277344 L 503.628906 158.753906 L 502.960938 160.136719 L 502.007812 161.28125 L 500.8125 162.332031 L 499.382812 163.140625 L 500.765625 163.761719 L 501.957031 164.429688 L 503.007812 165.191406 L 503.867188 166.050781 L 504.535156 166.957031 L 505.058594 167.910156 L 505.25 168.480469 L 505.390625 169.054688 L 505.535156 169.625 L 505.628906 170.292969 L 505.679688 170.914062 Z M 499.765625 156.179688 L 499.621094 154.75 L 499.191406 153.554688 L 498.523438 152.507812 L 497.570312 151.742188 L 496.378906 151.171875 L 494.902344 150.789062 L 494.566406 150.789062 L 494.234375 150.742188 L 493.898438 150.695312 L 493.136719 150.695312 L 492.804688 150.648438 L 484.21875 150.648438 L 484.21875 161.710938 L 492.804688 161.710938 L 494.566406 161.613281 L 496.09375 161.328125 L 497.378906 160.804688 L 498.335938 160.089844 L 499.097656 159.136719 L 499.574219 157.992188 L 499.621094 157.753906 L 499.667969 157.464844 L 499.71875 157.179688 L 499.71875 156.511719 Z M 495.042969 177.589844 L 496.378906 177.542969 L 497.570312 177.257812 L 498.621094 176.777344 L 499.480469 176.160156 L 500.195312 175.347656 L 500.765625 174.347656 L 500.910156 173.964844 L 501.003906 173.535156 L 501.148438 173.058594 L 501.195312 172.628906 L 501.242188 172.152344 L 501.292969 171.628906 L 501.195312 170.578125 L 501.003906 169.578125 L 500.671875 168.71875 L 500.195312 167.910156 L 499.574219 167.242188 L 498.859375 166.621094 L 498.335938 166.335938 L 497.761719 166.097656 L 497.140625 165.90625 L 496.476562 165.765625 L 495.757812 165.667969 L 495.042969 165.621094 L 484.21875 165.621094 L 484.21875 177.589844 Z M 495.042969 177.589844 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 434 938 L 481 938 M 434 892 L 481 892 M 434 892 L 434 938 M 481 892 L 481 938 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 434 938 L 481 938 M 434 892 L 481 892 M 481 938 L 481 892 M 434 938 L 434 892 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 411.191406 925.402344 L 406.425781 925.402344 L 393.929688 890.640625 L 398.699219 890.640625 L 408.902344 920.0625 L 418.535156 890.640625 L 423.257812 890.640625 Z M 411.191406 925.402344 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 430.792969 908.140625 L 430.839844 909.761719 L 430.984375 911.289062 L 431.269531 912.71875 L 431.648438 914.148438 L 432.175781 915.484375 L 432.84375 916.722656 L 433.988281 918.488281 L 435.371094 919.917969 L 436.941406 921.0625 L 438.707031 921.875 L 440.710938 922.398438 L 442.902344 922.589844 L 443.238281 922.589844 L 444.714844 922.542969 L 446.148438 922.304688 L 447.433594 921.875 L 448.675781 921.351562 L 449.820312 920.632812 L 450.914062 919.730469 L 451.820312 918.773438 L 452.585938 917.726562 L 453.203125 916.535156 L 453.632812 915.292969 L 453.917969 913.957031 L 454.109375 912.527344 L 454.109375 912.050781 L 454.15625 911.90625 L 454.15625 910.953125 L 443.570312 910.953125 L 443.570312 907.042969 L 458.066406 907.042969 L 458.066406 925.59375 L 455.253906 925.59375 L 454.15625 920.96875 L 452.632812 922.496094 L 451.011719 923.78125 L 449.339844 924.832031 L 447.578125 925.546875 L 445.765625 926.070312 L 443.90625 926.40625 L 443.332031 926.40625 L 443.09375 926.453125 L 442.523438 926.453125 L 442.285156 926.5 L 440.28125 926.355469 L 438.375 926.023438 L 436.5625 925.5 L 434.894531 924.734375 L 433.320312 923.734375 L 431.839844 922.496094 L 430.503906 921.160156 L 429.359375 919.632812 L 428.40625 918.011719 L 427.644531 916.199219 L 427.023438 914.246094 L 426.644531 912.148438 L 426.546875 911.574219 L 426.453125 910.953125 L 426.40625 910.335938 L 426.355469 909.667969 L 426.355469 908.378906 L 426.40625 906.613281 L 426.59375 904.898438 L 426.882812 903.230469 L 427.359375 901.609375 L 427.882812 900.035156 L 428.597656 898.460938 L 429.789062 896.457031 L 431.171875 894.695312 L 432.84375 893.214844 L 434.652344 892.023438 L 436.703125 891.117188 L 438.992188 890.449219 L 439.613281 890.355469 L 440.28125 890.257812 L 440.949219 890.210938 L 441.617188 890.117188 L 442.332031 890.117188 L 443.046875 890.066406 L 445.335938 890.210938 L 447.480469 890.542969 L 449.4375 891.117188 L 451.203125 891.929688 L 452.773438 892.976562 L 454.207031 894.214844 L 455.015625 895.171875 L 455.730469 896.21875 L 456.351562 897.363281 L 456.875 898.554688 L 457.257812 899.84375 L 457.589844 901.179688 L 453.0625 901.179688 L 452.679688 899.9375 L 452.203125 898.792969 L 451.535156 897.746094 L 450.773438 896.839844 L 449.820312 896.027344 L 448.769531 895.3125 L 447.910156 894.933594 L 447.003906 894.597656 L 446.050781 894.3125 L 445.097656 894.167969 L 444.046875 894.027344 L 443 893.976562 L 441.234375 894.121094 L 439.613281 894.40625 L 438.136719 894.882812 L 436.753906 895.597656 L 435.464844 896.503906 L 434.320312 897.601562 L 433.269531 898.9375 L 432.414062 900.414062 L 431.746094 902.039062 L 431.222656 903.800781 L 430.886719 905.707031 L 430.792969 907.757812 Z M 430.792969 908.140625 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 492.117188 890.640625 L 492.117188 925.402344 L 487.109375 925.402344 L 469.132812 897.222656 L 469.132812 925.402344 L 464.933594 925.402344 L 464.933594 890.640625 L 469.75 890.640625 L 487.917969 919.0625 L 487.917969 890.640625 Z M 492.117188 890.640625 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 499.9375 925.402344 L 499.9375 890.640625 L 513.335938 890.640625 L 515.433594 890.785156 L 517.390625 891.164062 L 519.203125 891.832031 L 520.871094 892.691406 L 522.347656 893.882812 L 523.730469 895.265625 L 524.875 896.933594 L 525.828125 898.746094 L 526.542969 900.796875 L 527.070312 902.992188 L 527.355469 905.421875 L 527.5 907.996094 L 527.355469 910.714844 L 527.023438 913.242188 L 526.449219 915.53125 L 525.6875 917.628906 L 524.683594 919.488281 L 523.445312 921.113281 L 522.109375 922.445312 L 520.632812 923.496094 L 519.011719 924.355469 L 517.246094 924.925781 L 515.339844 925.308594 L 513.335938 925.402344 Z M 504.371094 921.492188 L 512.574219 921.492188 L 514.765625 921.351562 L 516.722656 920.824219 L 518.4375 919.917969 L 519.867188 918.726562 L 521.011719 917.105469 L 521.96875 915.152344 L 522.253906 914.148438 L 522.539062 913.101562 L 522.777344 911.957031 L 522.921875 910.714844 L 523.015625 909.429688 L 523.0625 908.046875 L 522.875 904.945312 L 522.394531 902.226562 L 521.539062 899.890625 L 520.394531 898.03125 L 518.914062 896.503906 L 517.101562 895.410156 L 516.433594 895.171875 L 515.71875 894.933594 L 514.195312 894.644531 L 513.382812 894.597656 L 512.574219 894.550781 L 504.371094 894.550781 Z M 504.371094 921.492188 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 434 194 L 481 194 M 434 148 L 481 148 M 434 148 L 434 194 M 481 148 L 481 194 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 434 194 L 481 194 M 434 148 L 481 148 M 481 194 L 481 148 M 434 194 L 434 148 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 407.191406 181.5 L 402.425781 181.5 L 389.929688 146.738281 L 394.699219 146.738281 L 404.902344 176.160156 L 414.535156 146.738281 L 419.257812 146.738281 Z M 407.191406 181.5 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 449.679688 156.941406 L 449.585938 158.46875 L 449.347656 159.851562 L 448.917969 161.136719 L 448.394531 162.28125 L 447.628906 163.332031 L 446.773438 164.285156 L 445.820312 165.097656 L 444.816406 165.714844 L 443.71875 166.191406 L 442.527344 166.527344 L 441.289062 166.71875 L 439.953125 166.765625 L 429.03125 166.765625 L 429.03125 181.5 L 424.597656 181.5 L 424.597656 146.738281 L 438.953125 146.738281 L 441.003906 146.878906 L 442.863281 147.214844 L 444.484375 147.785156 L 445.914062 148.597656 L 447.105469 149.597656 L 448.105469 150.839844 L 448.585938 151.742188 L 448.964844 152.648438 L 449.25 153.605469 L 449.488281 154.652344 L 449.632812 155.796875 Z M 429.03125 162.855469 L 438.285156 162.855469 L 439.570312 162.808594 L 440.714844 162.570312 L 441.765625 162.234375 L 442.671875 161.710938 L 443.433594 161.042969 L 444.101562 160.230469 L 444.339844 159.753906 L 444.578125 159.183594 L 444.769531 158.660156 L 444.914062 158.039062 L 445.007812 157.417969 L 445.054688 156.75 L 444.960938 155.605469 L 444.722656 154.558594 L 444.339844 153.652344 L 443.816406 152.839844 L 443.101562 152.171875 L 442.289062 151.601562 L 441.71875 151.363281 L 441.097656 151.125 L 440.429688 150.933594 L 439.761719 150.789062 L 439.046875 150.695312 L 438.285156 150.648438 L 429.03125 150.648438 Z M 429.03125 162.855469 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 487.496094 181.5 L 482.632812 181.5 L 474.621094 152.9375 L 466.847656 181.5 L 461.984375 181.5 L 453.066406 146.738281 L 458.027344 146.738281 L 464.558594 174.96875 L 472.285156 146.738281 L 477.050781 146.738281 L 484.96875 174.96875 L 491.359375 146.738281 L 496.316406 146.738281 Z M 487.496094 181.5 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 522.542969 164.332031 L 523.640625 164.953125 L 524.644531 165.621094 L 525.5 166.382812 L 526.167969 167.289062 L 526.644531 168.242188 L 527.027344 169.292969 L 527.121094 169.863281 L 527.21875 170.484375 L 527.265625 171.199219 L 527.3125 172.011719 L 527.3125 173.917969 L 527.265625 174.917969 L 527.3125 175.777344 L 527.359375 176.539062 L 527.457031 177.207031 L 527.550781 177.78125 L 527.742188 178.257812 L 527.886719 178.734375 L 528.125 179.117188 L 528.363281 179.496094 L 528.648438 179.832031 L 528.984375 180.164062 L 529.363281 180.402344 L 529.363281 181.5 L 523.976562 181.5 L 523.6875 180.738281 L 523.449219 179.925781 L 523.261719 178.972656 L 523.117188 178.019531 L 523.023438 176.96875 L 523.023438 172.726562 L 522.925781 171.34375 L 522.6875 170.101562 L 522.304688 169.054688 L 521.734375 168.195312 L 521.019531 167.527344 L 520.160156 167.003906 L 519.730469 166.859375 L 519.300781 166.765625 L 518.824219 166.667969 L 518.347656 166.621094 L 517.300781 166.527344 L 505.855469 166.527344 L 505.855469 181.5 L 501.421875 181.5 L 501.421875 146.738281 L 517.441406 146.738281 L 519.589844 146.878906 L 521.542969 147.214844 L 523.210938 147.832031 L 524.644531 148.644531 L 525.835938 149.695312 L 526.789062 150.980469 L 527.121094 151.742188 L 527.457031 152.507812 L 527.695312 153.316406 L 527.886719 154.175781 L 527.980469 155.082031 L 528.027344 156.035156 L 527.933594 157.324219 L 527.742188 158.515625 L 527.410156 159.613281 L 526.933594 160.613281 L 526.359375 161.519531 L 525.644531 162.28125 L 525.214844 162.664062 L 524.738281 163.046875 L 524.261719 163.378906 L 523.738281 163.714844 L 523.164062 164.046875 Z M 523.402344 156.65625 L 523.261719 155.226562 L 522.972656 153.984375 L 522.402344 152.9375 L 521.6875 152.078125 L 520.683594 151.457031 L 519.539062 150.980469 L 519.113281 150.886719 L 518.632812 150.789062 L 518.15625 150.742188 L 517.632812 150.695312 L 517.109375 150.695312 L 516.585938 150.648438 L 505.855469 150.648438 L 505.855469 162.617188 L 516.585938 162.617188 L 518.15625 162.570312 L 519.539062 162.28125 L 520.683594 161.851562 L 521.640625 161.234375 L 522.402344 160.46875 L 522.925781 159.515625 L 523.070312 159.136719 L 523.164062 158.660156 L 523.261719 158.230469 L 523.308594 157.703125 L 523.355469 157.226562 Z M 523.402344 156.65625 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 434 938 L 481 938 M 434 892 L 481 892 M 434 892 L 434 938 M 481 892 L 481 938 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 434 938 L 481 938 M 434 892 L 481 892 M 481 938 L 481 892 M 434 938 L 434 892 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 930.191406 920.5 L 925.425781 920.5 L 912.929688 885.738281 L 917.699219 885.738281 L 927.902344 915.160156 L 937.535156 885.738281 L 942.257812 885.738281 Z M 930.191406 920.5 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 974.0625 885.738281 L 974.0625 920.5 L 969.058594 920.5 L 951.078125 892.316406 L 951.078125 920.5 L 946.882812 920.5 L 946.882812 885.738281 L 951.699219 885.738281 L 969.867188 914.15625 L 969.867188 885.738281 Z M 974.0625 885.738281 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1007.347656 910.582031 L 1007.253906 912.105469 L 1007.015625 913.488281 L 1006.585938 914.777344 L 1006.011719 915.921875 L 1005.25 917.019531 L 1004.34375 917.972656 L 1003.34375 918.785156 L 1002.292969 919.402344 L 1001.101562 919.878906 L 999.863281 920.261719 L 998.527344 920.453125 L 997.097656 920.5 L 981.40625 920.5 L 981.40625 885.738281 L 995.523438 885.738281 L 997.574219 885.878906 L 999.386719 886.214844 L 1001.007812 886.785156 L 1002.390625 887.597656 L 1003.582031 888.644531 L 1004.535156 889.933594 L 1004.917969 890.648438 L 1005.25 891.410156 L 1005.488281 892.125 L 1005.679688 892.9375 L 1005.773438 893.75 L 1005.824219 894.558594 L 1005.679688 896.277344 L 1005.25 897.753906 L 1004.582031 899.136719 L 1003.628906 900.28125 L 1002.4375 901.332031 L 1001.007812 902.140625 L 1002.390625 902.761719 L 1003.582031 903.429688 L 1004.628906 904.191406 L 1005.488281 905.050781 L 1006.15625 905.957031 L 1006.679688 906.910156 L 1006.871094 907.480469 L 1007.015625 908.054688 L 1007.15625 908.625 L 1007.253906 909.292969 L 1007.300781 909.914062 Z M 1001.386719 895.179688 L 1001.246094 893.75 L 1000.816406 892.554688 L 1000.148438 891.507812 L 999.195312 890.742188 L 998.003906 890.171875 L 996.523438 889.789062 L 996.191406 889.789062 L 995.855469 889.742188 L 995.523438 889.695312 L 994.757812 889.695312 L 994.425781 889.648438 L 985.84375 889.648438 L 985.84375 900.710938 L 994.425781 900.710938 L 996.191406 900.613281 L 997.714844 900.328125 L 999.003906 899.804688 L 999.957031 899.089844 L 1000.71875 898.136719 L 1001.195312 896.992188 L 1001.246094 896.753906 L 1001.292969 896.464844 L 1001.339844 896.179688 L 1001.339844 895.511719 Z M 996.667969 916.589844 L 998.003906 916.542969 L 999.195312 916.257812 L 1000.242188 915.777344 L 1001.101562 915.160156 L 1001.816406 914.347656 L 1002.390625 913.347656 L 1002.53125 912.964844 L 1002.628906 912.535156 L 1002.769531 912.058594 L 1002.820312 911.628906 L 1002.867188 911.152344 L 1002.914062 910.628906 L 1002.820312 909.578125 L 1002.628906 908.578125 L 1002.292969 907.71875 L 1001.816406 906.910156 L 1001.195312 906.242188 L 1000.480469 905.621094 L 999.957031 905.335938 L 999.386719 905.097656 L 998.765625 904.90625 L 998.097656 904.765625 L 997.382812 904.667969 L 996.667969 904.621094 L 985.84375 904.621094 L 985.84375 916.589844 Z M 996.667969 916.589844 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 935 198 L 982 198 M 935 153 L 982 153 M 935 153 L 935 198 M 982 153 L 982 198 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 935 198 L 982 198 M 935 153 L 982 153 M 982 198 L 982 153 M 935 198 L 935 153 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 430.191406 925.5 L 425.425781 925.5 L 412.929688 890.738281 L 417.699219 890.738281 L 427.902344 920.160156 L 437.535156 890.738281 L 442.257812 890.738281 Z M 430.191406 925.5 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 474.0625 890.738281 L 474.0625 925.5 L 469.058594 925.5 L 451.078125 897.316406 L 451.078125 925.5 L 446.882812 925.5 L 446.882812 890.738281 L 451.699219 890.738281 L 469.867188 919.15625 L 469.867188 890.738281 Z M 474.0625 890.738281 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 507.347656 915.582031 L 507.253906 917.105469 L 507.015625 918.488281 L 506.585938 919.777344 L 506.011719 920.921875 L 505.25 922.019531 L 504.34375 922.972656 L 503.34375 923.785156 L 502.292969 924.402344 L 501.101562 924.878906 L 499.863281 925.261719 L 498.527344 925.453125 L 497.097656 925.5 L 481.40625 925.5 L 481.40625 890.738281 L 495.523438 890.738281 L 497.574219 890.878906 L 499.386719 891.214844 L 501.007812 891.785156 L 502.390625 892.597656 L 503.582031 893.644531 L 504.535156 894.933594 L 504.917969 895.648438 L 505.25 896.410156 L 505.488281 897.125 L 505.679688 897.9375 L 505.773438 898.75 L 505.824219 899.558594 L 505.679688 901.277344 L 505.25 902.753906 L 504.582031 904.136719 L 503.628906 905.28125 L 502.4375 906.332031 L 501.007812 907.140625 L 502.390625 907.761719 L 503.582031 908.429688 L 504.628906 909.191406 L 505.488281 910.050781 L 506.15625 910.957031 L 506.679688 911.910156 L 506.871094 912.480469 L 507.015625 913.054688 L 507.15625 913.625 L 507.253906 914.292969 L 507.300781 914.914062 Z M 501.386719 900.179688 L 501.246094 898.75 L 500.816406 897.554688 L 500.148438 896.507812 L 499.195312 895.742188 L 498.003906 895.171875 L 496.523438 894.789062 L 496.191406 894.789062 L 495.855469 894.742188 L 495.523438 894.695312 L 494.757812 894.695312 L 494.425781 894.648438 L 485.84375 894.648438 L 485.84375 905.710938 L 494.425781 905.710938 L 496.191406 905.613281 L 497.714844 905.328125 L 499.003906 904.804688 L 499.957031 904.089844 L 500.71875 903.136719 L 501.195312 901.992188 L 501.246094 901.753906 L 501.292969 901.464844 L 501.339844 901.179688 L 501.339844 900.511719 Z M 496.667969 921.589844 L 498.003906 921.542969 L 499.195312 921.257812 L 500.242188 920.777344 L 501.101562 920.160156 L 501.816406 919.347656 L 502.390625 918.347656 L 502.53125 917.964844 L 502.628906 917.535156 L 502.769531 917.058594 L 502.820312 916.628906 L 502.867188 916.152344 L 502.914062 915.628906 L 502.820312 914.578125 L 502.628906 913.578125 L 502.292969 912.71875 L 501.816406 911.910156 L 501.195312 911.242188 L 500.480469 910.621094 L 499.957031 910.335938 L 499.386719 910.097656 L 498.765625 909.90625 L 498.097656 909.765625 L 497.382812 909.667969 L 496.667969 909.621094 L 485.84375 909.621094 L 485.84375 921.589844 Z M 496.667969 921.589844 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 434 194 L 481 194 M 434 148 L 481 148 M 434 148 L 434 194 M 481 148 L 481 194 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 434 194 L 481 194 M 434 148 L 481 148 M 481 194 L 481 148 M 434 194 L 434 148 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1098.179688 595.578125 L 1098.015625 598.183594 L 1097.609375 600.542969 L 1096.878906 602.738281 L 1095.902344 604.691406 L 1094.597656 606.5625 L 1093.054688 608.1875 L 1091.347656 609.570312 L 1089.554688 610.628906 L 1087.523438 611.441406 L 1085.40625 612.09375 L 1083.128906 612.417969 L 1080.6875 612.5 L 1053.925781 612.5 L 1053.925781 553.199219 L 1078.003906 553.199219 L 1081.503906 553.441406 L 1084.59375 554.011719 L 1087.359375 554.988281 L 1089.71875 556.371094 L 1091.753906 558.160156 L 1093.378906 560.355469 L 1094.03125 561.578125 L 1094.597656 562.878906 L 1095.007812 564.097656 L 1095.332031 565.480469 L 1095.496094 566.863281 L 1095.574219 568.246094 L 1095.332031 571.175781 L 1094.597656 573.699219 L 1093.460938 576.058594 L 1091.832031 578.007812 L 1089.800781 579.796875 L 1087.359375 581.179688 L 1089.71875 582.238281 L 1091.753906 583.378906 L 1093.542969 584.679688 L 1095.007812 586.144531 L 1096.144531 587.6875 L 1097.039062 589.316406 L 1097.367188 590.292969 L 1097.851562 592.246094 L 1098.015625 593.382812 L 1098.097656 594.441406 Z M 1088.011719 569.304688 L 1087.765625 566.863281 L 1087.035156 564.832031 L 1085.894531 563.042969 L 1084.269531 561.738281 L 1082.234375 560.765625 L 1079.714844 560.113281 L 1079.144531 560.113281 L 1078.003906 559.949219 L 1076.703125 559.949219 L 1076.132812 559.867188 L 1061.492188 559.867188 L 1061.492188 578.742188 L 1076.132812 578.742188 L 1079.144531 578.578125 L 1081.746094 578.089844 L 1083.941406 577.195312 L 1085.570312 575.976562 L 1086.871094 574.347656 L 1087.683594 572.394531 L 1087.765625 571.988281 L 1087.929688 571.011719 L 1087.929688 569.875 Z M 1079.957031 605.828125 L 1082.234375 605.75 L 1084.269531 605.261719 L 1086.058594 604.445312 L 1087.523438 603.390625 L 1088.742188 602.007812 L 1089.71875 600.296875 L 1089.960938 599.648438 L 1090.125 598.914062 L 1090.371094 598.101562 L 1090.449219 597.371094 L 1090.53125 596.554688 L 1090.613281 595.660156 L 1090.449219 593.871094 L 1090.125 592.164062 L 1089.554688 590.699219 L 1088.742188 589.316406 L 1087.683594 588.175781 L 1086.464844 587.121094 L 1085.570312 586.632812 L 1084.59375 586.226562 L 1083.535156 585.898438 L 1082.398438 585.65625 L 1081.175781 585.492188 L 1079.957031 585.410156 L 1061.492188 585.410156 L 1061.492188 605.828125 Z M 1079.957031 605.828125 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1122.746094 571.421875 L 1109.972656 571.421875 L 1109.972656 566.296875 L 1112.171875 566.050781 L 1114.121094 565.726562 L 1115.832031 565.320312 L 1117.296875 564.992188 L 1118.515625 564.585938 L 1119.574219 564.097656 L 1120.386719 563.609375 L 1121.117188 563.042969 L 1121.769531 562.390625 L 1122.421875 561.578125 L 1122.988281 560.683594 L 1123.558594 559.625 L 1123.804688 559.054688 L 1124.046875 558.40625 L 1124.292969 557.671875 L 1124.617188 556.777344 L 1124.859375 555.882812 L 1125.1875 554.824219 L 1129.902344 554.824219 L 1129.902344 612.5 L 1122.746094 612.5 Z M 1122.746094 571.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 1064 520 L 1110 520 M 1064 473 L 1110 473 M 1064 473 L 1064 520 M 1110 473 L 1110 520 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 1064 520 L 1110 520 M 1064 473 L 1110 473 M 1110 520 L 1110 473 M 1064 520 L 1064 473 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 464.304688 303.078125 L 485.292969 333.5 L 475.9375 333.5 L 459.75 308.769531 L 443.480469 333.5 L 434.289062 333.5 L 455.277344 303.078125 L 435.589844 274.199219 L 444.785156 274.199219 L 459.996094 297.464844 L 475.289062 274.199219 L 484.316406 274.199219 Z M 464.304688 303.078125 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 434 799 L 481 799 M 434 752 L 481 752 M 434 752 L 434 799 M 481 752 L 481 799 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 434 799 L 481 799 M 434 752 L 481 752 M 481 799 L 481 752 M 434 799 L 434 752 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 800.738281 612.5 L 800.738281 553.199219 L 823.597656 553.199219 L 827.175781 553.441406 L 830.511719 554.09375 L 833.605469 555.230469 L 836.449219 556.695312 L 838.972656 558.730469 L 841.332031 561.089844 L 843.285156 563.9375 L 844.910156 567.027344 L 846.132812 570.523438 L 847.027344 574.265625 L 847.515625 578.414062 L 847.757812 582.808594 L 847.515625 587.445312 L 846.945312 591.757812 L 845.96875 595.660156 L 844.667969 599.242188 L 842.957031 602.414062 L 840.84375 605.179688 L 838.566406 607.457031 L 836.042969 609.246094 L 833.277344 610.710938 L 830.269531 611.6875 L 827.015625 612.335938 L 823.597656 612.5 Z M 808.304688 605.828125 L 822.296875 605.828125 L 826.039062 605.585938 L 829.375 604.691406 L 832.300781 603.144531 L 834.742188 601.113281 L 836.695312 598.347656 L 838.320312 595.011719 L 838.808594 593.300781 L 839.296875 591.511719 L 839.703125 589.558594 L 839.949219 587.445312 L 840.113281 585.25 L 840.191406 582.890625 L 839.867188 577.601562 L 839.054688 572.964844 L 837.589844 568.980469 L 835.636719 565.808594 L 833.117188 563.203125 L 830.023438 561.332031 L 828.886719 560.925781 L 827.664062 560.519531 L 826.363281 560.277344 L 825.0625 560.03125 L 822.296875 559.867188 L 808.304688 559.867188 Z M 808.304688 605.828125 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 873.21875 571.421875 L 860.449219 571.421875 L 860.449219 566.296875 L 862.644531 566.050781 L 864.597656 565.726562 L 866.304688 565.320312 L 867.769531 564.992188 L 868.988281 564.585938 L 870.046875 564.097656 L 870.859375 563.609375 L 871.59375 563.042969 L 872.242188 562.390625 L 872.894531 561.578125 L 873.464844 560.683594 L 874.03125 559.625 L 874.277344 559.054688 L 874.519531 558.40625 L 874.765625 557.671875 L 875.089844 556.777344 L 875.335938 555.882812 L 875.660156 554.824219 L 880.378906 554.824219 L 880.378906 612.5 L 873.21875 612.5 Z M 873.21875 571.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 812 520 L 858 520 M 812 473 L 858 473 M 812 473 L 812 520 M 858 473 L 858 520 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 812 520 L 858 520 M 812 473 L 858 473 M 858 520 L 858 473 M 812 520 L 812 473 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 464.304688 675.078125 L 485.292969 705.5 L 475.9375 705.5 L 459.75 680.769531 L 443.480469 705.5 L 434.289062 705.5 L 455.277344 675.078125 L 435.589844 646.199219 L 444.785156 646.199219 L 459.996094 669.464844 L 475.289062 646.199219 L 484.316406 646.199219 Z M 464.304688 675.078125 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 434 427 L 481 427 M 434 380 L 481 380 M 434 380 L 434 427 M 481 380 L 481 427 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 434 427 L 481 427 M 434 380 L 481 380 M 481 427 L 481 380 M 434 427 L 434 380 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1098.179688 502.578125 L 1098.015625 505.183594 L 1097.609375 507.542969 L 1096.878906 509.738281 L 1095.902344 511.691406 L 1094.597656 513.5625 L 1093.054688 515.1875 L 1091.347656 516.570312 L 1089.554688 517.628906 L 1087.523438 518.441406 L 1085.40625 519.09375 L 1083.128906 519.417969 L 1080.6875 519.5 L 1053.925781 519.5 L 1053.925781 460.199219 L 1078.003906 460.199219 L 1081.503906 460.441406 L 1084.59375 461.011719 L 1087.359375 461.988281 L 1089.71875 463.371094 L 1091.753906 465.160156 L 1093.378906 467.355469 L 1094.03125 468.578125 L 1094.597656 469.878906 L 1095.007812 471.097656 L 1095.332031 472.480469 L 1095.496094 473.863281 L 1095.574219 475.246094 L 1095.332031 478.175781 L 1094.597656 480.699219 L 1093.460938 483.058594 L 1091.832031 485.007812 L 1089.800781 486.796875 L 1087.359375 488.179688 L 1089.71875 489.238281 L 1091.753906 490.378906 L 1093.542969 491.679688 L 1095.007812 493.144531 L 1096.144531 494.6875 L 1097.039062 496.316406 L 1097.367188 497.292969 L 1097.851562 499.246094 L 1098.015625 500.382812 L 1098.097656 501.441406 Z M 1088.011719 476.304688 L 1087.765625 473.863281 L 1087.035156 471.832031 L 1085.894531 470.042969 L 1084.269531 468.738281 L 1082.234375 467.765625 L 1079.714844 467.113281 L 1079.144531 467.113281 L 1078.003906 466.949219 L 1076.703125 466.949219 L 1076.132812 466.867188 L 1061.492188 466.867188 L 1061.492188 485.742188 L 1076.132812 485.742188 L 1079.144531 485.578125 L 1081.746094 485.089844 L 1083.941406 484.195312 L 1085.570312 482.976562 L 1086.871094 481.347656 L 1087.683594 479.394531 L 1087.765625 478.988281 L 1087.929688 478.011719 L 1087.929688 476.875 Z M 1079.957031 512.828125 L 1082.234375 512.75 L 1084.269531 512.261719 L 1086.058594 511.445312 L 1087.523438 510.390625 L 1088.742188 509.007812 L 1089.71875 507.296875 L 1089.960938 506.648438 L 1090.125 505.914062 L 1090.371094 505.101562 L 1090.449219 504.371094 L 1090.53125 503.554688 L 1090.613281 502.660156 L 1090.449219 500.871094 L 1090.125 499.164062 L 1089.554688 497.699219 L 1088.742188 496.316406 L 1087.683594 495.175781 L 1086.464844 494.121094 L 1085.570312 493.632812 L 1084.59375 493.226562 L 1083.535156 492.898438 L 1082.398438 492.65625 L 1081.175781 492.492188 L 1079.957031 492.410156 L 1061.492188 492.410156 L 1061.492188 512.828125 Z M 1079.957031 512.828125 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1122.746094 478.421875 L 1109.972656 478.421875 L 1109.972656 473.296875 L 1112.171875 473.050781 L 1114.121094 472.726562 L 1115.832031 472.320312 L 1117.296875 471.992188 L 1118.515625 471.585938 L 1119.574219 471.097656 L 1120.386719 470.609375 L 1121.117188 470.042969 L 1121.769531 469.390625 L 1122.421875 468.578125 L 1122.988281 467.683594 L 1123.558594 466.625 L 1123.804688 466.054688 L 1124.046875 465.40625 L 1124.292969 464.671875 L 1124.617188 463.777344 L 1124.859375 462.882812 L 1125.1875 461.824219 L 1129.902344 461.824219 L 1129.902344 519.5 L 1122.746094 519.5 Z M 1122.746094 478.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 1064 613 L 1110 613 M 1064 566 L 1110 566 M 1064 566 L 1064 613 M 1110 566 L 1110 613 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 1064 613 L 1110 613 M 1064 566 L 1110 566 M 1110 613 L 1110 566 M 1064 613 L 1064 566 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1337.058594 780.683594 L 1314.199219 780.683594 L 1307.9375 798.5 L 1299.882812 798.5 L 1321.03125 739.199219 L 1330.792969 739.199219 L 1351.621094 798.5 L 1343.160156 798.5 Z M 1334.941406 774.339844 L 1325.832031 747.332031 L 1316.070312 774.339844 Z M 1334.941406 774.339844 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1373.746094 757.421875 L 1360.972656 757.421875 L 1360.972656 752.296875 L 1363.171875 752.050781 L 1365.121094 751.726562 L 1366.832031 751.320312 L 1368.296875 750.992188 L 1369.515625 750.585938 L 1370.574219 750.097656 L 1371.386719 749.609375 L 1372.117188 749.042969 L 1372.769531 748.390625 L 1373.421875 747.578125 L 1373.988281 746.683594 L 1374.558594 745.625 L 1374.804688 745.054688 L 1375.046875 744.40625 L 1375.292969 743.671875 L 1375.617188 742.777344 L 1375.859375 741.882812 L 1376.1875 740.824219 L 1380.902344 740.824219 L 1380.902344 798.5 L 1373.746094 798.5 Z M 1373.746094 757.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 1315 334 L 1362 334 M 1315 287 L 1362 287 M 1315 287 L 1315 334 M 1362 287 L 1362 334 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 1315 334 L 1362 334 M 1315 287 L 1362 287 M 1362 334 L 1362 287 M 1315 334 L 1315 287 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1457.058594 594.683594 L 1434.199219 594.683594 L 1427.9375 612.5 L 1419.882812 612.5 L 1441.03125 553.199219 L 1450.792969 553.199219 L 1471.621094 612.5 L 1463.160156 612.5 Z M 1454.941406 588.339844 L 1445.832031 561.332031 L 1436.070312 588.339844 Z M 1454.941406 588.339844 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1476.742188 574.835938 L 1477.394531 568.734375 L 1479.101562 563.773438 L 1481.789062 559.867188 L 1485.449219 557.101562 L 1490.085938 555.394531 L 1495.777344 554.824219 L 1498.382812 554.988281 L 1500.902344 555.394531 L 1503.261719 556.046875 L 1505.378906 557.023438 L 1507.332031 558.242188 L 1509.203125 559.625 L 1510.664062 561.332031 L 1511.96875 563.121094 L 1512.941406 565.074219 L 1513.675781 567.109375 L 1514.082031 569.386719 L 1514.246094 571.746094 L 1513.917969 575.082031 L 1513.023438 578.171875 L 1511.480469 581.019531 L 1509.363281 583.703125 L 1506.597656 586.226562 L 1503.261719 588.421875 L 1502.042969 589.152344 L 1493.90625 593.546875 L 1491.792969 594.765625 L 1489.921875 595.988281 L 1488.296875 597.207031 L 1486.992188 598.425781 L 1485.855469 599.648438 L 1485.042969 600.867188 L 1484.632812 601.597656 L 1484.308594 602.25 L 1484.066406 603.0625 L 1483.820312 603.796875 L 1483.578125 604.609375 L 1483.496094 605.421875 L 1513.839844 605.421875 L 1513.839844 612.5 L 1475.441406 612.5 L 1475.605469 609.652344 L 1476.011719 607.050781 L 1476.5 604.609375 L 1477.152344 602.496094 L 1477.882812 600.460938 L 1478.777344 598.671875 L 1479.753906 597.125 L 1480.972656 595.578125 L 1482.4375 594.035156 L 1484.066406 592.570312 L 1485.9375 591.105469 L 1488.132812 589.640625 L 1488.621094 589.316406 L 1489.191406 588.992188 L 1489.757812 588.585938 L 1490.328125 588.257812 L 1490.980469 587.933594 L 1491.628906 587.527344 L 1499.113281 583.296875 L 1501.472656 581.75 L 1503.425781 580.042969 L 1504.972656 578.253906 L 1506.027344 576.300781 L 1506.679688 574.1875 L 1506.921875 571.90625 L 1506.761719 570.363281 L 1506.515625 568.816406 L 1505.949219 567.433594 L 1505.296875 566.132812 L 1504.320312 564.992188 L 1503.261719 563.855469 L 1502.125 563.042969 L 1500.984375 562.308594 L 1499.683594 561.820312 L 1498.382812 561.414062 L 1497 561.171875 L 1495.535156 561.089844 L 1492.363281 561.496094 L 1489.679688 562.472656 L 1487.480469 564.179688 L 1485.855469 566.539062 L 1484.714844 569.628906 L 1484.066406 573.292969 L 1483.984375 573.617188 L 1483.984375 574.105469 L 1483.902344 574.347656 L 1483.902344 574.835938 Z M 1476.742188 574.835938 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 1441 520 L 1488 520 M 1441 473 L 1488 473 M 1441 473 L 1441 520 M 1488 473 L 1488 520 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 1441 520 L 1488 520 M 1441 473 L 1488 473 M 1488 520 L 1488 473 M 1441 520 L 1441 473 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 464.304688 396.078125 L 485.292969 426.5 L 475.9375 426.5 L 459.75 401.769531 L 443.480469 426.5 L 434.289062 426.5 L 455.277344 396.078125 L 435.589844 367.199219 L 444.785156 367.199219 L 459.996094 390.464844 L 475.289062 367.199219 L 484.316406 367.199219 Z M 464.304688 396.078125 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 434 706 L 481 706 M 434 659 L 481 659 M 434 659 L 434 706 M 481 659 L 481 706 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 434 706 L 481 706 M 434 659 L 481 659 M 481 706 L 481 659 M 434 706 L 434 659 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 464.304688 489.078125 L 485.292969 519.5 L 475.9375 519.5 L 459.75 494.769531 L 443.480469 519.5 L 434.289062 519.5 L 455.277344 489.078125 L 435.589844 460.199219 L 444.785156 460.199219 L 459.996094 483.464844 L 475.289062 460.199219 L 484.316406 460.199219 Z M 464.304688 489.078125 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 434 613 L 481 613 M 434 566 L 481 566 M 434 566 L 434 613 M 481 566 L 481 613 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 434 613 L 481 613 M 434 566 L 481 566 M 481 613 L 481 566 M 434 613 L 434 566 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 464.304688 582.078125 L 485.292969 612.5 L 475.9375 612.5 L 459.75 587.769531 L 443.480469 612.5 L 434.289062 612.5 L 455.277344 582.078125 L 435.589844 553.199219 L 444.785156 553.199219 L 459.996094 576.464844 L 475.289062 553.199219 L 484.316406 553.199219 Z M 464.304688 582.078125 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 434 520 L 481 520 M 434 473 L 481 473 M 434 473 L 434 520 M 481 473 L 481 520 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 434 520 L 481 520 M 434 473 L 481 473 M 481 520 L 481 473 M 434 520 L 434 473 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 464.304688 768.078125 L 485.292969 798.5 L 475.9375 798.5 L 459.75 773.769531 L 443.480469 798.5 L 434.289062 798.5 L 455.277344 768.078125 L 435.589844 739.199219 L 444.785156 739.199219 L 459.996094 762.464844 L 475.289062 739.199219 L 484.316406 739.199219 Z M 464.304688 768.078125 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 434 334 L 481 334 M 434 287 L 481 287 M 434 287 L 434 334 M 481 287 L 481 334 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 434 334 L 481 334 M 434 287 L 481 287 M 481 334 L 481 287 M 434 334 L 434 287 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 923.40625 396.667969 L 923.484375 393.414062 L 923.8125 390.242188 L 924.378906 387.234375 L 925.113281 384.304688 L 926.089844 381.539062 L 927.390625 378.855469 L 929.425781 375.355469 L 931.945312 372.347656 L 934.792969 369.90625 L 938.046875 367.953125 L 941.625 366.570312 L 945.695312 365.675781 L 946.425781 365.59375 L 947.238281 365.515625 L 947.972656 365.433594 L 949.597656 365.433594 L 950.492188 365.351562 L 955.699219 365.757812 L 960.253906 366.976562 L 964.160156 368.929688 L 967.414062 371.695312 L 970.015625 375.195312 L 972.050781 379.503906 L 972.292969 380.320312 L 972.539062 381.132812 L 972.78125 382.027344 L 972.945312 382.921875 L 973.105469 383.816406 L 973.351562 384.710938 L 965.625 384.710938 L 964.808594 381.863281 L 963.753906 379.425781 L 962.449219 377.308594 L 960.742188 375.519531 L 958.871094 374.136719 L 956.675781 373.078125 L 955.617188 372.753906 L 954.480469 372.511719 L 953.339844 372.347656 L 952.121094 372.183594 L 950.898438 372.101562 L 949.597656 372.023438 L 946.75 372.265625 L 944.148438 372.835938 L 941.707031 373.730469 L 939.511719 375.113281 L 937.476562 376.820312 L 935.769531 378.855469 L 934.304688 381.214844 L 933.085938 383.816406 L 932.109375 386.664062 L 931.457031 389.753906 L 931.050781 393.089844 L 930.96875 396.589844 L 931.050781 400.410156 L 931.539062 403.828125 L 932.351562 407.082031 L 933.492188 409.929688 L 934.957031 412.613281 L 936.746094 414.890625 L 938.535156 416.761719 L 940.488281 418.226562 L 942.601562 419.367188 L 944.960938 420.179688 L 947.484375 420.667969 L 950.25 420.828125 L 953.175781 420.667969 L 955.863281 420.097656 L 958.300781 419.121094 L 960.335938 417.738281 L 962.125 415.949219 L 963.671875 413.753906 L 964.320312 412.449219 L 964.890625 410.988281 L 965.460938 409.441406 L 965.949219 407.734375 L 966.355469 405.941406 L 966.761719 403.992188 L 974.570312 403.992188 L 973.105469 411.230469 L 970.667969 417.085938 L 967.085938 421.644531 L 962.53125 424.898438 L 956.839844 426.769531 L 950.167969 427.5 L 945.449219 427.175781 L 941.21875 426.199219 L 937.394531 424.734375 L 933.980469 422.539062 L 930.96875 419.691406 L 928.449219 416.191406 L 926.984375 413.671875 L 925.765625 410.90625 L 924.789062 407.976562 L 924.054688 404.886719 L 923.566406 401.632812 L 923.40625 398.214844 Z M 923.40625 396.667969 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 999.21875 384.550781 L 986.449219 384.550781 L 986.449219 379.425781 L 988.644531 379.179688 L 990.597656 378.855469 L 992.304688 378.449219 L 993.769531 378.121094 L 994.988281 377.714844 L 996.046875 377.226562 L 996.859375 376.738281 L 997.59375 376.171875 L 998.242188 375.519531 L 998.894531 374.707031 L 999.464844 373.8125 L 1000.03125 372.753906 L 1000.277344 372.183594 L 1000.519531 371.535156 L 1000.765625 370.800781 L 1001.089844 369.90625 L 1001.335938 369.011719 L 1001.660156 367.953125 L 1006.378906 367.953125 L 1006.378906 425.628906 L 999.21875 425.628906 Z M 999.21875 384.550781 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 938 706 L 984 706 M 938 659 L 984 659 M 938 659 L 938 706 M 984 659 L 984 706 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 938 706 L 984 706 M 938 659 L 984 659 M 984 706 L 984 659 M 938 706 L 938 659 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 800.738281 519.5 L 800.738281 460.199219 L 823.597656 460.199219 L 827.175781 460.441406 L 830.511719 461.09375 L 833.605469 462.230469 L 836.449219 463.695312 L 838.972656 465.730469 L 841.332031 468.089844 L 843.285156 470.9375 L 844.910156 474.027344 L 846.132812 477.523438 L 847.027344 481.265625 L 847.515625 485.414062 L 847.757812 489.808594 L 847.515625 494.445312 L 846.945312 498.757812 L 845.96875 502.660156 L 844.667969 506.242188 L 842.957031 509.414062 L 840.84375 512.179688 L 838.566406 514.457031 L 836.042969 516.246094 L 833.277344 517.710938 L 830.269531 518.6875 L 827.015625 519.335938 L 823.597656 519.5 Z M 808.304688 512.828125 L 822.296875 512.828125 L 826.039062 512.585938 L 829.375 511.691406 L 832.300781 510.144531 L 834.742188 508.113281 L 836.695312 505.347656 L 838.320312 502.011719 L 838.808594 500.300781 L 839.296875 498.511719 L 839.703125 496.558594 L 839.949219 494.445312 L 840.113281 492.25 L 840.191406 489.890625 L 839.867188 484.601562 L 839.054688 479.964844 L 837.589844 475.980469 L 835.636719 472.808594 L 833.117188 470.203125 L 830.023438 468.332031 L 828.886719 467.925781 L 827.664062 467.519531 L 826.363281 467.277344 L 825.0625 467.03125 L 822.296875 466.867188 L 808.304688 466.867188 Z M 808.304688 512.828125 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 873.21875 478.421875 L 860.449219 478.421875 L 860.449219 473.296875 L 862.644531 473.050781 L 864.597656 472.726562 L 866.304688 472.320312 L 867.769531 471.992188 L 868.988281 471.585938 L 870.046875 471.097656 L 870.859375 470.609375 L 871.59375 470.042969 L 872.242188 469.390625 L 872.894531 468.578125 L 873.464844 467.683594 L 874.03125 466.625 L 874.277344 466.054688 L 874.519531 465.40625 L 874.765625 464.671875 L 875.089844 463.777344 L 875.335938 462.882812 L 875.660156 461.824219 L 880.378906 461.824219 L 880.378906 519.5 L 873.21875 519.5 Z M 873.21875 478.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 812 613 L 858 613 M 812 566 L 858 566 M 812 566 L 812 613 M 858 566 L 858 613 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 812 613 L 858 613 M 812 566 L 858 566 M 858 613 L 858 566 M 812 613 L 812 566 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 800.738281 426.5 L 800.738281 367.199219 L 823.597656 367.199219 L 827.175781 367.441406 L 830.511719 368.09375 L 833.605469 369.230469 L 836.449219 370.695312 L 838.972656 372.730469 L 841.332031 375.089844 L 843.285156 377.9375 L 844.910156 381.027344 L 846.132812 384.523438 L 847.027344 388.265625 L 847.515625 392.414062 L 847.757812 396.808594 L 847.515625 401.445312 L 846.945312 405.757812 L 845.96875 409.660156 L 844.667969 413.242188 L 842.957031 416.414062 L 840.84375 419.179688 L 838.566406 421.457031 L 836.042969 423.246094 L 833.277344 424.710938 L 830.269531 425.6875 L 827.015625 426.335938 L 823.597656 426.5 Z M 808.304688 419.828125 L 822.296875 419.828125 L 826.039062 419.585938 L 829.375 418.691406 L 832.300781 417.144531 L 834.742188 415.113281 L 836.695312 412.347656 L 838.320312 409.011719 L 838.808594 407.300781 L 839.296875 405.511719 L 839.703125 403.558594 L 839.949219 401.445312 L 840.113281 399.25 L 840.191406 396.890625 L 839.867188 391.601562 L 839.054688 386.964844 L 837.589844 382.980469 L 835.636719 379.808594 L 833.117188 377.203125 L 830.023438 375.332031 L 828.886719 374.925781 L 827.664062 374.519531 L 826.363281 374.277344 L 825.0625 374.03125 L 822.296875 373.867188 L 808.304688 373.867188 Z M 808.304688 419.828125 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 873.21875 385.421875 L 860.449219 385.421875 L 860.449219 380.296875 L 862.644531 380.050781 L 864.597656 379.726562 L 866.304688 379.320312 L 867.769531 378.992188 L 868.988281 378.585938 L 870.046875 378.097656 L 870.859375 377.609375 L 871.59375 377.042969 L 872.242188 376.390625 L 872.894531 375.578125 L 873.464844 374.683594 L 874.03125 373.625 L 874.277344 373.054688 L 874.519531 372.40625 L 874.765625 371.671875 L 875.089844 370.777344 L 875.335938 369.882812 L 875.660156 368.824219 L 880.378906 368.824219 L 880.378906 426.5 L 873.21875 426.5 Z M 873.21875 385.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 812 706 L 858 706 M 812 659 L 858 659 M 812 659 L 812 706 M 858 659 L 858 706 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 812 706 L 858 706 M 812 659 L 858 659 M 858 706 L 858 659 M 812 706 L 812 659 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 800.738281 333.5 L 800.738281 274.199219 L 823.597656 274.199219 L 827.175781 274.441406 L 830.511719 275.09375 L 833.605469 276.230469 L 836.449219 277.695312 L 838.972656 279.730469 L 841.332031 282.089844 L 843.285156 284.9375 L 844.910156 288.027344 L 846.132812 291.523438 L 847.027344 295.265625 L 847.515625 299.414062 L 847.757812 303.808594 L 847.515625 308.445312 L 846.945312 312.757812 L 845.96875 316.660156 L 844.667969 320.242188 L 842.957031 323.414062 L 840.84375 326.179688 L 838.566406 328.457031 L 836.042969 330.246094 L 833.277344 331.710938 L 830.269531 332.6875 L 827.015625 333.335938 L 823.597656 333.5 Z M 808.304688 326.828125 L 822.296875 326.828125 L 826.039062 326.585938 L 829.375 325.691406 L 832.300781 324.144531 L 834.742188 322.113281 L 836.695312 319.347656 L 838.320312 316.011719 L 838.808594 314.300781 L 839.296875 312.511719 L 839.703125 310.558594 L 839.949219 308.445312 L 840.113281 306.25 L 840.191406 303.890625 L 839.867188 298.601562 L 839.054688 293.964844 L 837.589844 289.980469 L 835.636719 286.808594 L 833.117188 284.203125 L 830.023438 282.332031 L 828.886719 281.925781 L 827.664062 281.519531 L 826.363281 281.277344 L 825.0625 281.03125 L 822.296875 280.867188 L 808.304688 280.867188 Z M 808.304688 326.828125 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 873.21875 292.421875 L 860.449219 292.421875 L 860.449219 287.296875 L 862.644531 287.050781 L 864.597656 286.726562 L 866.304688 286.320312 L 867.769531 285.992188 L 868.988281 285.585938 L 870.046875 285.097656 L 870.859375 284.609375 L 871.59375 284.042969 L 872.242188 283.390625 L 872.894531 282.578125 L 873.464844 281.683594 L 874.03125 280.625 L 874.277344 280.054688 L 874.519531 279.40625 L 874.765625 278.671875 L 875.089844 277.777344 L 875.335938 276.882812 L 875.660156 275.824219 L 880.378906 275.824219 L 880.378906 333.5 L 873.21875 333.5 Z M 873.21875 292.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 812 799 L 858 799 M 812 752 L 858 752 M 812 752 L 812 799 M 858 752 L 858 799 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 812 799 L 858 799 M 812 752 L 858 752 M 858 799 L 858 752 M 812 799 L 812 752 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 923.40625 489.667969 L 923.484375 486.414062 L 923.8125 483.242188 L 924.378906 480.234375 L 925.113281 477.304688 L 926.089844 474.539062 L 927.390625 471.855469 L 929.425781 468.355469 L 931.945312 465.347656 L 934.792969 462.90625 L 938.046875 460.953125 L 941.625 459.570312 L 945.695312 458.675781 L 946.425781 458.59375 L 947.238281 458.515625 L 947.972656 458.433594 L 949.597656 458.433594 L 950.492188 458.351562 L 955.699219 458.757812 L 960.253906 459.976562 L 964.160156 461.929688 L 967.414062 464.695312 L 970.015625 468.195312 L 972.050781 472.503906 L 972.292969 473.320312 L 972.539062 474.132812 L 972.78125 475.027344 L 972.945312 475.921875 L 973.105469 476.816406 L 973.351562 477.710938 L 965.625 477.710938 L 964.808594 474.863281 L 963.753906 472.425781 L 962.449219 470.308594 L 960.742188 468.519531 L 958.871094 467.136719 L 956.675781 466.078125 L 955.617188 465.753906 L 954.480469 465.511719 L 953.339844 465.347656 L 952.121094 465.183594 L 950.898438 465.101562 L 949.597656 465.023438 L 946.75 465.265625 L 944.148438 465.835938 L 941.707031 466.730469 L 939.511719 468.113281 L 937.476562 469.820312 L 935.769531 471.855469 L 934.304688 474.214844 L 933.085938 476.816406 L 932.109375 479.664062 L 931.457031 482.753906 L 931.050781 486.089844 L 930.96875 489.589844 L 931.050781 493.410156 L 931.539062 496.828125 L 932.351562 500.082031 L 933.492188 502.929688 L 934.957031 505.613281 L 936.746094 507.890625 L 938.535156 509.761719 L 940.488281 511.226562 L 942.601562 512.367188 L 944.960938 513.179688 L 947.484375 513.667969 L 950.25 513.828125 L 953.175781 513.667969 L 955.863281 513.097656 L 958.300781 512.121094 L 960.335938 510.738281 L 962.125 508.949219 L 963.671875 506.753906 L 964.320312 505.449219 L 964.890625 503.988281 L 965.460938 502.441406 L 965.949219 500.734375 L 966.355469 498.941406 L 966.761719 496.992188 L 974.570312 496.992188 L 973.105469 504.230469 L 970.667969 510.085938 L 967.085938 514.644531 L 962.53125 517.898438 L 956.839844 519.769531 L 950.167969 520.5 L 945.449219 520.175781 L 941.21875 519.199219 L 937.394531 517.734375 L 933.980469 515.539062 L 930.96875 512.691406 L 928.449219 509.191406 L 926.984375 506.671875 L 925.765625 503.90625 L 924.789062 500.976562 L 924.054688 497.886719 L 923.566406 494.632812 L 923.40625 491.214844 Z M 923.40625 489.667969 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 999.21875 477.550781 L 986.449219 477.550781 L 986.449219 472.425781 L 988.644531 472.179688 L 990.597656 471.855469 L 992.304688 471.449219 L 993.769531 471.121094 L 994.988281 470.714844 L 996.046875 470.226562 L 996.859375 469.738281 L 997.59375 469.171875 L 998.242188 468.519531 L 998.894531 467.707031 L 999.464844 466.8125 L 1000.03125 465.753906 L 1000.277344 465.183594 L 1000.519531 464.535156 L 1000.765625 463.800781 L 1001.089844 462.90625 L 1001.335938 462.011719 L 1001.660156 460.953125 L 1006.378906 460.953125 L 1006.378906 518.628906 L 999.21875 518.628906 Z M 999.21875 477.550781 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 938 613 L 984 613 M 938 566 L 984 566 M 938 566 L 938 613 M 984 566 L 984 613 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 938 613 L 984 613 M 938 566 L 984 566 M 984 613 L 984 566 M 938 613 L 938 566 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 923.40625 582.667969 L 923.484375 579.414062 L 923.8125 576.242188 L 924.378906 573.234375 L 925.113281 570.304688 L 926.089844 567.539062 L 927.390625 564.855469 L 929.425781 561.355469 L 931.945312 558.347656 L 934.792969 555.90625 L 938.046875 553.953125 L 941.625 552.570312 L 945.695312 551.675781 L 946.425781 551.59375 L 947.238281 551.515625 L 947.972656 551.433594 L 949.597656 551.433594 L 950.492188 551.351562 L 955.699219 551.757812 L 960.253906 552.976562 L 964.160156 554.929688 L 967.414062 557.695312 L 970.015625 561.195312 L 972.050781 565.503906 L 972.292969 566.320312 L 972.539062 567.132812 L 972.78125 568.027344 L 972.945312 568.921875 L 973.105469 569.816406 L 973.351562 570.710938 L 965.625 570.710938 L 964.808594 567.863281 L 963.753906 565.425781 L 962.449219 563.308594 L 960.742188 561.519531 L 958.871094 560.136719 L 956.675781 559.078125 L 955.617188 558.753906 L 954.480469 558.511719 L 953.339844 558.347656 L 952.121094 558.183594 L 950.898438 558.101562 L 949.597656 558.023438 L 946.75 558.265625 L 944.148438 558.835938 L 941.707031 559.730469 L 939.511719 561.113281 L 937.476562 562.820312 L 935.769531 564.855469 L 934.304688 567.214844 L 933.085938 569.816406 L 932.109375 572.664062 L 931.457031 575.753906 L 931.050781 579.089844 L 930.96875 582.589844 L 931.050781 586.410156 L 931.539062 589.828125 L 932.351562 593.082031 L 933.492188 595.929688 L 934.957031 598.613281 L 936.746094 600.890625 L 938.535156 602.761719 L 940.488281 604.226562 L 942.601562 605.367188 L 944.960938 606.179688 L 947.484375 606.667969 L 950.25 606.828125 L 953.175781 606.667969 L 955.863281 606.097656 L 958.300781 605.121094 L 960.335938 603.738281 L 962.125 601.949219 L 963.671875 599.753906 L 964.320312 598.449219 L 964.890625 596.988281 L 965.460938 595.441406 L 965.949219 593.734375 L 966.355469 591.941406 L 966.761719 589.992188 L 974.570312 589.992188 L 973.105469 597.230469 L 970.667969 603.085938 L 967.085938 607.644531 L 962.53125 610.898438 L 956.839844 612.769531 L 950.167969 613.5 L 945.449219 613.175781 L 941.21875 612.199219 L 937.394531 610.734375 L 933.980469 608.539062 L 930.96875 605.691406 L 928.449219 602.191406 L 926.984375 599.671875 L 925.765625 596.90625 L 924.789062 593.976562 L 924.054688 590.886719 L 923.566406 587.632812 L 923.40625 584.214844 Z M 923.40625 582.667969 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 999.21875 570.550781 L 986.449219 570.550781 L 986.449219 565.425781 L 988.644531 565.179688 L 990.597656 564.855469 L 992.304688 564.449219 L 993.769531 564.121094 L 994.988281 563.714844 L 996.046875 563.226562 L 996.859375 562.738281 L 997.59375 562.171875 L 998.242188 561.519531 L 998.894531 560.707031 L 999.464844 559.8125 L 1000.03125 558.753906 L 1000.277344 558.183594 L 1000.519531 557.535156 L 1000.765625 556.800781 L 1001.089844 555.90625 L 1001.335938 555.011719 L 1001.660156 553.953125 L 1006.378906 553.953125 L 1006.378906 611.628906 L 999.21875 611.628906 Z M 999.21875 570.550781 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 938 520 L 984 520 M 938 473 L 984 473 M 938 473 L 938 520 M 984 473 L 984 520 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 938 520 L 984 520 M 938 473 L 984 473 M 984 520 L 984 473 M 938 520 L 938 473 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 923.40625 303.667969 L 923.484375 300.414062 L 923.8125 297.242188 L 924.378906 294.234375 L 925.113281 291.304688 L 926.089844 288.539062 L 927.390625 285.855469 L 929.425781 282.355469 L 931.945312 279.347656 L 934.792969 276.90625 L 938.046875 274.953125 L 941.625 273.570312 L 945.695312 272.675781 L 946.425781 272.59375 L 947.238281 272.515625 L 947.972656 272.433594 L 949.597656 272.433594 L 950.492188 272.351562 L 955.699219 272.757812 L 960.253906 273.976562 L 964.160156 275.929688 L 967.414062 278.695312 L 970.015625 282.195312 L 972.050781 286.503906 L 972.292969 287.320312 L 972.539062 288.132812 L 972.78125 289.027344 L 972.945312 289.921875 L 973.105469 290.816406 L 973.351562 291.710938 L 965.625 291.710938 L 964.808594 288.863281 L 963.753906 286.425781 L 962.449219 284.308594 L 960.742188 282.519531 L 958.871094 281.136719 L 956.675781 280.078125 L 955.617188 279.753906 L 954.480469 279.511719 L 953.339844 279.347656 L 952.121094 279.183594 L 950.898438 279.101562 L 949.597656 279.023438 L 946.75 279.265625 L 944.148438 279.835938 L 941.707031 280.730469 L 939.511719 282.113281 L 937.476562 283.820312 L 935.769531 285.855469 L 934.304688 288.214844 L 933.085938 290.816406 L 932.109375 293.664062 L 931.457031 296.753906 L 931.050781 300.089844 L 930.96875 303.589844 L 931.050781 307.410156 L 931.539062 310.828125 L 932.351562 314.082031 L 933.492188 316.929688 L 934.957031 319.613281 L 936.746094 321.890625 L 938.535156 323.761719 L 940.488281 325.226562 L 942.601562 326.367188 L 944.960938 327.179688 L 947.484375 327.667969 L 950.25 327.828125 L 953.175781 327.667969 L 955.863281 327.097656 L 958.300781 326.121094 L 960.335938 324.738281 L 962.125 322.949219 L 963.671875 320.753906 L 964.320312 319.449219 L 964.890625 317.988281 L 965.460938 316.441406 L 965.949219 314.734375 L 966.355469 312.941406 L 966.761719 310.992188 L 974.570312 310.992188 L 973.105469 318.230469 L 970.667969 324.085938 L 967.085938 328.644531 L 962.53125 331.898438 L 956.839844 333.769531 L 950.167969 334.5 L 945.449219 334.175781 L 941.21875 333.199219 L 937.394531 331.734375 L 933.980469 329.539062 L 930.96875 326.691406 L 928.449219 323.191406 L 926.984375 320.671875 L 925.765625 317.90625 L 924.789062 314.976562 L 924.054688 311.886719 L 923.566406 308.632812 L 923.40625 305.214844 Z M 923.40625 303.667969 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 999.21875 291.550781 L 986.449219 291.550781 L 986.449219 286.425781 L 988.644531 286.179688 L 990.597656 285.855469 L 992.304688 285.449219 L 993.769531 285.121094 L 994.988281 284.714844 L 996.046875 284.226562 L 996.859375 283.738281 L 997.59375 283.171875 L 998.242188 282.519531 L 998.894531 281.707031 L 999.464844 280.8125 L 1000.03125 279.753906 L 1000.277344 279.183594 L 1000.519531 278.535156 L 1000.765625 277.800781 L 1001.089844 276.90625 L 1001.335938 276.011719 L 1001.660156 274.953125 L 1006.378906 274.953125 L 1006.378906 332.628906 L 999.21875 332.628906 Z M 999.21875 291.550781 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 938 799 L 984 799 M 938 752 L 984 752 M 938 752 L 938 799 M 984 752 L 984 799 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 938 799 L 984 799 M 938 752 L 984 752 M 984 799 L 984 752 M 938 799 L 938 752 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1098.179688 409.578125 L 1098.015625 412.183594 L 1097.609375 414.542969 L 1096.878906 416.738281 L 1095.902344 418.691406 L 1094.597656 420.5625 L 1093.054688 422.1875 L 1091.347656 423.570312 L 1089.554688 424.628906 L 1087.523438 425.441406 L 1085.40625 426.09375 L 1083.128906 426.417969 L 1080.6875 426.5 L 1053.925781 426.5 L 1053.925781 367.199219 L 1078.003906 367.199219 L 1081.503906 367.441406 L 1084.59375 368.011719 L 1087.359375 368.988281 L 1089.71875 370.371094 L 1091.753906 372.160156 L 1093.378906 374.355469 L 1094.03125 375.578125 L 1094.597656 376.878906 L 1095.007812 378.097656 L 1095.332031 379.480469 L 1095.496094 380.863281 L 1095.574219 382.246094 L 1095.332031 385.175781 L 1094.597656 387.699219 L 1093.460938 390.058594 L 1091.832031 392.007812 L 1089.800781 393.796875 L 1087.359375 395.179688 L 1089.71875 396.238281 L 1091.753906 397.378906 L 1093.542969 398.679688 L 1095.007812 400.144531 L 1096.144531 401.6875 L 1097.039062 403.316406 L 1097.367188 404.292969 L 1097.851562 406.246094 L 1098.015625 407.382812 L 1098.097656 408.441406 Z M 1088.011719 383.304688 L 1087.765625 380.863281 L 1087.035156 378.832031 L 1085.894531 377.042969 L 1084.269531 375.738281 L 1082.234375 374.765625 L 1079.714844 374.113281 L 1079.144531 374.113281 L 1078.003906 373.949219 L 1076.703125 373.949219 L 1076.132812 373.867188 L 1061.492188 373.867188 L 1061.492188 392.742188 L 1076.132812 392.742188 L 1079.144531 392.578125 L 1081.746094 392.089844 L 1083.941406 391.195312 L 1085.570312 389.976562 L 1086.871094 388.347656 L 1087.683594 386.394531 L 1087.765625 385.988281 L 1087.929688 385.011719 L 1087.929688 383.875 Z M 1079.957031 419.828125 L 1082.234375 419.75 L 1084.269531 419.261719 L 1086.058594 418.445312 L 1087.523438 417.390625 L 1088.742188 416.007812 L 1089.71875 414.296875 L 1089.960938 413.648438 L 1090.125 412.914062 L 1090.371094 412.101562 L 1090.449219 411.371094 L 1090.53125 410.554688 L 1090.613281 409.660156 L 1090.449219 407.871094 L 1090.125 406.164062 L 1089.554688 404.699219 L 1088.742188 403.316406 L 1087.683594 402.175781 L 1086.464844 401.121094 L 1085.570312 400.632812 L 1084.59375 400.226562 L 1083.535156 399.898438 L 1082.398438 399.65625 L 1081.175781 399.492188 L 1079.957031 399.410156 L 1061.492188 399.410156 L 1061.492188 419.828125 Z M 1079.957031 419.828125 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1122.746094 385.421875 L 1109.972656 385.421875 L 1109.972656 380.296875 L 1112.171875 380.050781 L 1114.121094 379.726562 L 1115.832031 379.320312 L 1117.296875 378.992188 L 1118.515625 378.585938 L 1119.574219 378.097656 L 1120.386719 377.609375 L 1121.117188 377.042969 L 1121.769531 376.390625 L 1122.421875 375.578125 L 1122.988281 374.683594 L 1123.558594 373.625 L 1123.804688 373.054688 L 1124.046875 372.40625 L 1124.292969 371.671875 L 1124.617188 370.777344 L 1124.859375 369.882812 L 1125.1875 368.824219 L 1129.902344 368.824219 L 1129.902344 426.5 L 1122.746094 426.5 Z M 1122.746094 385.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 1064 706 L 1110 706 M 1064 659 L 1110 659 M 1064 659 L 1064 706 M 1110 659 L 1110 706 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 1064 706 L 1110 706 M 1064 659 L 1110 659 M 1110 706 L 1110 659 M 1064 706 L 1064 659 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1098.179688 316.578125 L 1098.015625 319.183594 L 1097.609375 321.542969 L 1096.878906 323.738281 L 1095.902344 325.691406 L 1094.597656 327.5625 L 1093.054688 329.1875 L 1091.347656 330.570312 L 1089.554688 331.628906 L 1087.523438 332.441406 L 1085.40625 333.09375 L 1083.128906 333.417969 L 1080.6875 333.5 L 1053.925781 333.5 L 1053.925781 274.199219 L 1078.003906 274.199219 L 1081.503906 274.441406 L 1084.59375 275.011719 L 1087.359375 275.988281 L 1089.71875 277.371094 L 1091.753906 279.160156 L 1093.378906 281.355469 L 1094.03125 282.578125 L 1094.597656 283.878906 L 1095.007812 285.097656 L 1095.332031 286.480469 L 1095.496094 287.863281 L 1095.574219 289.246094 L 1095.332031 292.175781 L 1094.597656 294.699219 L 1093.460938 297.058594 L 1091.832031 299.007812 L 1089.800781 300.796875 L 1087.359375 302.179688 L 1089.71875 303.238281 L 1091.753906 304.378906 L 1093.542969 305.679688 L 1095.007812 307.144531 L 1096.144531 308.6875 L 1097.039062 310.316406 L 1097.367188 311.292969 L 1097.851562 313.246094 L 1098.015625 314.382812 L 1098.097656 315.441406 Z M 1088.011719 290.304688 L 1087.765625 287.863281 L 1087.035156 285.832031 L 1085.894531 284.042969 L 1084.269531 282.738281 L 1082.234375 281.765625 L 1079.714844 281.113281 L 1079.144531 281.113281 L 1078.003906 280.949219 L 1076.703125 280.949219 L 1076.132812 280.867188 L 1061.492188 280.867188 L 1061.492188 299.742188 L 1076.132812 299.742188 L 1079.144531 299.578125 L 1081.746094 299.089844 L 1083.941406 298.195312 L 1085.570312 296.976562 L 1086.871094 295.347656 L 1087.683594 293.394531 L 1087.765625 292.988281 L 1087.929688 292.011719 L 1087.929688 290.875 Z M 1079.957031 326.828125 L 1082.234375 326.75 L 1084.269531 326.261719 L 1086.058594 325.445312 L 1087.523438 324.390625 L 1088.742188 323.007812 L 1089.71875 321.296875 L 1089.960938 320.648438 L 1090.125 319.914062 L 1090.371094 319.101562 L 1090.449219 318.371094 L 1090.53125 317.554688 L 1090.613281 316.660156 L 1090.449219 314.871094 L 1090.125 313.164062 L 1089.554688 311.699219 L 1088.742188 310.316406 L 1087.683594 309.175781 L 1086.464844 308.121094 L 1085.570312 307.632812 L 1084.59375 307.226562 L 1083.535156 306.898438 L 1082.398438 306.65625 L 1081.175781 306.492188 L 1079.957031 306.410156 L 1061.492188 306.410156 L 1061.492188 326.828125 Z M 1079.957031 326.828125 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1122.746094 292.421875 L 1109.972656 292.421875 L 1109.972656 287.296875 L 1112.171875 287.050781 L 1114.121094 286.726562 L 1115.832031 286.320312 L 1117.296875 285.992188 L 1118.515625 285.585938 L 1119.574219 285.097656 L 1120.386719 284.609375 L 1121.117188 284.042969 L 1121.769531 283.390625 L 1122.421875 282.578125 L 1122.988281 281.683594 L 1123.558594 280.625 L 1123.804688 280.054688 L 1124.046875 279.40625 L 1124.292969 278.671875 L 1124.617188 277.777344 L 1124.859375 276.882812 L 1125.1875 275.824219 L 1129.902344 275.824219 L 1129.902344 333.5 L 1122.746094 333.5 Z M 1122.746094 292.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 1064 799 L 1110 799 M 1064 752 L 1110 752 M 1064 752 L 1064 799 M 1110 752 L 1110 799 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 1064 799 L 1110 799 M 1064 752 L 1110 752 M 1110 799 L 1110 752 M 1064 799 L 1064 752 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1211.058594 594.683594 L 1188.199219 594.683594 L 1181.9375 612.5 L 1173.882812 612.5 L 1195.03125 553.199219 L 1204.792969 553.199219 L 1225.621094 612.5 L 1217.160156 612.5 Z M 1208.941406 588.339844 L 1199.832031 561.332031 L 1190.070312 588.339844 Z M 1208.941406 588.339844 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1247.746094 571.421875 L 1234.972656 571.421875 L 1234.972656 566.296875 L 1237.171875 566.050781 L 1239.121094 565.726562 L 1240.832031 565.320312 L 1242.296875 564.992188 L 1243.515625 564.585938 L 1244.574219 564.097656 L 1245.386719 563.609375 L 1246.117188 563.042969 L 1246.769531 562.390625 L 1247.421875 561.578125 L 1247.988281 560.683594 L 1248.558594 559.625 L 1248.804688 559.054688 L 1249.046875 558.40625 L 1249.292969 557.671875 L 1249.617188 556.777344 L 1249.859375 555.882812 L 1250.1875 554.824219 L 1254.902344 554.824219 L 1254.902344 612.5 L 1247.746094 612.5 Z M 1247.746094 571.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 1189 520 L 1236 520 M 1189 473 L 1236 473 M 1189 473 L 1189 520 M 1236 473 L 1236 520 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 1189 520 L 1236 520 M 1189 473 L 1236 473 M 1236 520 L 1236 473 M 1189 520 L 1189 473 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(100%,100%,0%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 395 167 L 395 174 M 391 171 L 398 171 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(100%,100%,100%);stroke-opacity:1;stroke-miterlimit:10;" d="M 395 166 L 395 175 M 390 171 L 399 171 M 394 172 L 396 172 M 394 170 L 396 170 M 394 170 L 394 172 M 396 170 L 396 172 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+</g>
+</svg>
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1.v b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.v
new file mode 100644
index 0000000..91d4359
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.v
@@ -0,0 +1,131 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+
+`ifndef SKY130_FD_SC_HD__A2111O_1_V
+`define SKY130_FD_SC_HD__A2111O_1_V
+
+/**
+ * a2111o: 2-input AND into first input of 4-input OR.
+ *
+ *         X = ((A1 & A2) | B1 | C1 | D1)
+ *
+ * Verilog wrapper for a2111o with size of 1 units.
+ *
+ * WARNING: This file is autogenerated, do not modify directly!
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+`include "sky130_fd_sc_hd__a2111o.v"
+
+`ifdef USE_POWER_PINS
+/*********************************************************/
+
+`celldefine
+module sky130_fd_sc_hd__a2111o_1 (
+    X   ,
+    A1  ,
+    A2  ,
+    B1  ,
+    C1  ,
+    D1  ,
+    VPWR,
+    VGND,
+    VPB ,
+    VNB
+);
+
+    output X   ;
+    input  A1  ;
+    input  A2  ;
+    input  B1  ;
+    input  C1  ;
+    input  D1  ;
+    input  VPWR;
+    input  VGND;
+    input  VPB ;
+    input  VNB ;
+    sky130_fd_sc_hd__a2111o cell (
+        .X(X),
+        .A1(A1),
+        .A2(A2),
+        .B1(B1),
+        .C1(C1),
+        .D1(D1),
+        .VPWR(VPWR),
+        .VGND(VGND),
+        .VPB(VPB),
+        .VNB(VNB)
+    );
+
+endmodule
+`endcelldefine
+
+/*********************************************************/
+`else // If not USE_POWER_PINS
+/*********************************************************/
+
+`celldefine
+module sky130_fd_sc_hd__a2111o_1 (
+    X   ,
+    A1  ,
+    A2  ,
+    B1  ,
+    C1  ,
+    D1  ,
+    VPWR,
+    VGND,
+    VPB ,
+    VNB
+);
+
+    output X   ;
+    input  A1  ;
+    input  A2  ;
+    input  B1  ;
+    input  C1  ;
+    input  D1  ;
+    input  VPWR;
+    input  VGND;
+    input  VPB ;
+    input  VNB ;
+
+    // Voltage supply signals
+    supply1 VPWR;
+    supply0 VGND;
+    supply1 VPB ;
+    supply0 VNB ;
+
+    sky130_fd_sc_hd__a2111o cell (
+        .X(X),
+        .A1(A1),
+        .A2(A2),
+        .B1(B1),
+        .C1(C1),
+        .D1(D1)
+    );
+
+endmodule
+`endcelldefine
+
+/*********************************************************/
+`endif // USE_POWER_PINS
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HD__A2111O_1_V
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_100C_1v65.lib.json b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_100C_1v65.lib.json
new file mode 100644
index 0000000..e1d67d8
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_100C_1v65.lib.json
@@ -0,0 +1,3016 @@
+{
+  "area": 11.2608,
+  "cell_footprint": "a2111o",
+  "cell_leakage_power": 2.280553,
+  "driver_waveform_fall": "ramp",
+  "driver_waveform_rise": "ramp",
+  "leakage_power": [
+    {
+      "value": 2.977862,
+      "when": "!A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 10.8321219,
+      "when": "!A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.8903554,
+      "when": "!A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.7395905,
+      "when": "!A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.880639,
+      "when": "!A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 1.5787329,
+      "when": "!A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.8410537,
+      "when": "!A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 0.8746139,
+      "when": "!A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 2.9777645,
+      "when": "!A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 11.7511343,
+      "when": "!A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.8903552,
+      "when": "!A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.7395722,
+      "when": "!A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.8806388,
+      "when": "!A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 1.5787203,
+      "when": "!A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.8410537,
+      "when": "!A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 0.8746138,
+      "when": "!A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 2.9777263,
+      "when": "A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 11.3759544,
+      "when": "A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.8903552,
+      "when": "A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.7395649,
+      "when": "A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.8806388,
+      "when": "A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 1.5787153,
+      "when": "A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.8410537,
+      "when": "A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 0.8746137,
+      "when": "A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 1.061415,
+      "when": "A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 3.1432905,
+      "when": "A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.8671986,
+      "when": "A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.0267356,
+      "when": "A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.8619165,
+      "when": "A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 1.0161915,
+      "when": "A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.8354045,
+      "when": "A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 0.8581102,
+      "when": "A1&A2&B1&C1&!D1"
+    }
+  ],
+  "pg_pin VGND": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VGND"
+  },
+  "pg_pin VNB": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VNB"
+  },
+  "pg_pin VPB": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPB"
+  },
+  "pg_pin VPWR": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPWR"
+  },
+  "pin A1": {
+    "capacitance": 0.002435,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002364,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0038935,
+          0.0038875,
+          0.0038766,
+          0.003877,
+          0.003881,
+          0.0038929,
+          0.0039232
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0032019,
+          -0.0032073,
+          -0.0032164,
+          -0.0032101,
+          -0.0031926,
+          -0.0031488,
+          -0.0030451
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002506
+  },
+  "pin A2": {
+    "capacitance": 0.002306,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002217,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0033616,
+          0.0033392,
+          0.0032904,
+          0.0032915,
+          0.003297,
+          0.0033128,
+          0.0033517
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0032825,
+          -0.0032859,
+          -0.0032902,
+          -0.003291,
+          -0.0032895,
+          -0.0032828,
+          -0.0032646
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002395
+  },
+  "pin B1": {
+    "capacitance": 0.002455,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002336,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0036401,
+          0.0036399,
+          0.0036408,
+          0.0036387,
+          0.0036353,
+          0.0036286,
+          0.0036145
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0029114,
+          -0.0029523,
+          -0.0030438,
+          -0.0030581,
+          -0.0030882,
+          -0.003155,
+          -0.0033063
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002574
+  },
+  "pin C1": {
+    "capacitance": 0.002402,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002259,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0032378,
+          0.0032344,
+          0.0032279,
+          0.0032282,
+          0.00323,
+          0.0032354,
+          0.0032495
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0025937,
+          -0.0027005,
+          -0.0029441,
+          -0.0029547,
+          -0.0029763,
+          -0.0030235,
+          -0.0031298
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002545
+  },
+  "pin D1": {
+    "capacitance": 0.002378,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002194,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.002104,
+          0.0020997,
+          0.0020915,
+          0.0020975,
+          0.0021128,
+          0.0021494,
+          0.0022352
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.001364,
+          -0.0013669,
+          -0.0013714,
+          -0.001373,
+          -0.0013747,
+          -0.0013763,
+          -0.0013778
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002562
+  },
+  "pin X": {
+    "direction": "output",
+    "function": "(A1&A2) | (B1) | (C1) | (D1)",
+    "internal_power": [
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001363916,
+            0.003720531,
+            0.01014898,
+            0.0276847,
+            0.07551919,
+            0.2060036
+          ],
+          "values": [
+            [
+              0.0146725,
+              0.0133982,
+              0.0105724,
+              0.003217,
+              -0.0192271,
+              -0.0839638,
+              -0.2615672
+            ],
+            [
+              0.0144927,
+              0.0132195,
+              0.0103992,
+              0.0030482,
+              -0.0194011,
+              -0.0841583,
+              -0.2617624
+            ],
+            [
+              0.0142623,
+              0.0129882,
+              0.0101612,
+              0.002784,
+              -0.0196544,
+              -0.0843958,
+              -0.2620234
+            ],
+            [
+              0.0140679,
+              0.0127974,
+              0.0099677,
+              0.0026213,
+              -0.0198474,
+              -0.0845882,
+              -0.262196
+            ],
+            [
+              0.0139245,
+              0.0126092,
+              0.0098101,
+              0.0024301,
+              -0.0200797,
+              -0.0847475,
+              -0.2623311
+            ],
+            [
+              0.0148756,
+              0.0134184,
+              0.009795,
+              0.0025179,
+              -0.0199776,
+              -0.0847069,
+              -0.26232
+            ],
+            [
+              0.0213153,
+              0.0198116,
+              0.0160177,
+              0.0068693,
+              -0.0174527,
+              -0.0824534,
+              -0.2601309
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001363916,
+            0.003720531,
+            0.01014898,
+            0.0276847,
+            0.07551919,
+            0.2060036
+          ],
+          "values": [
+            [
+              0.0133997,
+              0.0147645,
+              0.0184604,
+              0.0278366,
+              0.0521052,
+              0.1171879,
+              0.293736
+            ],
+            [
+              0.0132784,
+              0.0146709,
+              0.0183488,
+              0.0277567,
+              0.0519452,
+              0.1165541,
+              0.2920871
+            ],
+            [
+              0.0131825,
+              0.0145483,
+              0.0182352,
+              0.0275834,
+              0.0517994,
+              0.1170332,
+              0.2931932
+            ],
+            [
+              0.0132793,
+              0.0146279,
+              0.0181765,
+              0.0274366,
+              0.0516498,
+              0.1162796,
+              0.2929255
+            ],
+            [
+              0.0140691,
+              0.015174,
+              0.0185771,
+              0.0276235,
+              0.0516289,
+              0.1161295,
+              0.293399
+            ],
+            [
+              0.0167075,
+              0.0177742,
+              0.0208428,
+              0.029253,
+              0.0529965,
+              0.1171842,
+              0.2929515
+            ],
+            [
+              0.0226842,
+              0.0235988,
+              0.0264614,
+              0.0347809,
+              0.0577895,
+              0.1223402,
+              0.2977697
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001363916,
+            0.003720531,
+            0.01014898,
+            0.0276847,
+            0.07551919,
+            0.2060036
+          ],
+          "values": [
+            [
+              0.0175053,
+              0.0161824,
+              0.0132031,
+              0.0057827,
+              -0.0166259,
+              -0.0812905,
+              -0.2588224
+            ],
+            [
+              0.0174207,
+              0.0161113,
+              0.0131306,
+              0.0056982,
+              -0.0167136,
+              -0.0813693,
+              -0.2589043
+            ],
+            [
+              0.0173521,
+              0.016005,
+              0.0130886,
+              0.0056224,
+              -0.0167931,
+              -0.0814436,
+              -0.2590023
+            ],
+            [
+              0.0172006,
+              0.0158499,
+              0.0129295,
+              0.0055281,
+              -0.016929,
+              -0.0815743,
+              -0.2591057
+            ],
+            [
+              0.017079,
+              0.0157238,
+              0.0127659,
+              0.0053588,
+              -0.0170814,
+              -0.0817078,
+              -0.2592312
+            ],
+            [
+              0.0173852,
+              0.0159567,
+              0.0127949,
+              0.0055022,
+              -0.0169585,
+              -0.0816158,
+              -0.2591886
+            ],
+            [
+              0.0248681,
+              0.02337,
+              0.0195098,
+              0.0100503,
+              -0.0142807,
+              -0.0791591,
+              -0.2567354
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001363916,
+            0.003720531,
+            0.01014898,
+            0.0276847,
+            0.07551919,
+            0.2060036
+          ],
+          "values": [
+            [
+              0.0133951,
+              0.0147845,
+              0.0184587,
+              0.0278721,
+              0.0520636,
+              0.1167392,
+              0.2923536
+            ],
+            [
+              0.0132992,
+              0.0146727,
+              0.0183627,
+              0.0277488,
+              0.0519601,
+              0.1171723,
+              0.2933265
+            ],
+            [
+              0.0131693,
+              0.0145568,
+              0.0182503,
+              0.0276191,
+              0.0518258,
+              0.1164846,
+              0.292001
+            ],
+            [
+              0.0132874,
+              0.0146285,
+              0.0182391,
+              0.0274292,
+              0.0516292,
+              0.1168661,
+              0.291863
+            ],
+            [
+              0.0139671,
+              0.0151078,
+              0.0185315,
+              0.027561,
+              0.0516289,
+              0.1168405,
+              0.2933737
+            ],
+            [
+              0.0163606,
+              0.0174594,
+              0.0204877,
+              0.028922,
+              0.0527203,
+              0.1170772,
+              0.2928293
+            ],
+            [
+              0.0218143,
+              0.0227931,
+              0.0257472,
+              0.0341274,
+              0.0571938,
+              0.1216726,
+              0.2962341
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001363916,
+            0.003720531,
+            0.01014898,
+            0.0276847,
+            0.07551919,
+            0.2060036
+          ],
+          "values": [
+            [
+              0.0125015,
+              0.0112507,
+              0.0086135,
+              0.0012437,
+              -0.0213784,
+              -0.0862139,
+              -0.2637495
+            ],
+            [
+              0.0122955,
+              0.0110792,
+              0.0083915,
+              0.0011213,
+              -0.0215727,
+              -0.0863939,
+              -0.263986
+            ],
+            [
+              0.0121463,
+              0.0108809,
+              0.0082621,
+              0.0008903,
+              -0.0217285,
+              -0.0865586,
+              -0.2641566
+            ],
+            [
+              0.0119984,
+              0.0107685,
+              0.0080988,
+              0.0007266,
+              -0.0218684,
+              -0.0866977,
+              -0.2642936
+            ],
+            [
+              0.0120506,
+              0.0108202,
+              0.0081348,
+              0.0007052,
+              -0.0219664,
+              -0.0867721,
+              -0.2643669
+            ],
+            [
+              0.0144803,
+              0.0130458,
+              0.0094337,
+              0.0009498,
+              -0.0215154,
+              -0.0863595,
+              -0.2639693
+            ],
+            [
+              0.021177,
+              0.0196789,
+              0.0158935,
+              0.0065014,
+              -0.0175638,
+              -0.0825986,
+              -0.2602691
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001363916,
+            0.003720531,
+            0.01014898,
+            0.0276847,
+            0.07551919,
+            0.2060036
+          ],
+          "values": [
+            [
+              0.0114368,
+              0.0127557,
+              0.0162232,
+              0.0252352,
+              0.0490467,
+              0.1140476,
+              0.2884215
+            ],
+            [
+              0.0114238,
+              0.0127427,
+              0.0162042,
+              0.025215,
+              0.0490481,
+              0.1133502,
+              0.2901842
+            ],
+            [
+              0.0115229,
+              0.0128052,
+              0.0162222,
+              0.025179,
+              0.049179,
+              0.1139696,
+              0.2898643
+            ],
+            [
+              0.0115832,
+              0.0128318,
+              0.016129,
+              0.0250368,
+              0.0489423,
+              0.1138175,
+              0.2898925
+            ],
+            [
+              0.0124669,
+              0.0136214,
+              0.0166603,
+              0.0253207,
+              0.0489574,
+              0.1140771,
+              0.2902685
+            ],
+            [
+              0.014553,
+              0.0157292,
+              0.0188279,
+              0.0273125,
+              0.050753,
+              0.1148952,
+              0.2914683
+            ],
+            [
+              0.0206863,
+              0.0216792,
+              0.024652,
+              0.0329654,
+              0.0560465,
+              0.120244,
+              0.2949039
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001363916,
+            0.003720531,
+            0.01014898,
+            0.0276847,
+            0.07551919,
+            0.2060036
+          ],
+          "values": [
+            [
+              0.0107328,
+              0.0095149,
+              0.0068656,
+              -0.0004588,
+              -0.0231079,
+              -0.0879369,
+              -0.2654928
+            ],
+            [
+              0.0105541,
+              0.0093483,
+              0.0066965,
+              -0.0006294,
+              -0.0232883,
+              -0.0881077,
+              -0.2657152
+            ],
+            [
+              0.0104383,
+              0.0092005,
+              0.0065356,
+              -0.0007977,
+              -0.0234493,
+              -0.0882883,
+              -0.2658496
+            ],
+            [
+              0.010253,
+              0.009018,
+              0.0063622,
+              -0.0009803,
+              -0.0236186,
+              -0.0884465,
+              -0.2660188
+            ],
+            [
+              0.0104585,
+              0.0092156,
+              0.0064564,
+              -0.0008953,
+              -0.0236173,
+              -0.0884307,
+              -0.2659979
+            ],
+            [
+              0.0136367,
+              0.0121734,
+              0.0087173,
+              0.0001046,
+              -0.022455,
+              -0.0873146,
+              -0.2648893
+            ],
+            [
+              0.0207836,
+              0.0192321,
+              0.0154024,
+              0.0059875,
+              -0.01831,
+              -0.0832624,
+              -0.2609075
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001363916,
+            0.003720531,
+            0.01014898,
+            0.0276847,
+            0.07551919,
+            0.2060036
+          ],
+          "values": [
+            [
+              0.0102025,
+              0.0114715,
+              0.0148289,
+              0.0237107,
+              0.0476304,
+              0.1117107,
+              0.2871407
+            ],
+            [
+              0.0103015,
+              0.0115564,
+              0.0149232,
+              0.0237974,
+              0.0477181,
+              0.1117323,
+              0.2872615
+            ],
+            [
+              0.0105441,
+              0.0117841,
+              0.0151041,
+              0.0239842,
+              0.0477605,
+              0.1121503,
+              0.2886018
+            ],
+            [
+              0.0105994,
+              0.0118029,
+              0.0150177,
+              0.0238736,
+              0.0476913,
+              0.1125603,
+              0.288832
+            ],
+            [
+              0.0113708,
+              0.0125734,
+              0.0156089,
+              0.0242623,
+              0.0479954,
+              0.1129027,
+              0.287763
+            ],
+            [
+              0.0134432,
+              0.0145349,
+              0.017624,
+              0.0260609,
+              0.049387,
+              0.1136632,
+              0.2888274
+            ],
+            [
+              0.0193595,
+              0.0203128,
+              0.0232508,
+              0.0316401,
+              0.0549786,
+              0.1190622,
+              0.2934796
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001363916,
+            0.003720531,
+            0.01014898,
+            0.0276847,
+            0.07551919,
+            0.2060036
+          ],
+          "values": [
+            [
+              0.0099791,
+              0.008743,
+              0.0060816,
+              -0.0012241,
+              -0.0238769,
+              -0.0887039,
+              -0.2662619
+            ],
+            [
+              0.0097404,
+              0.0085095,
+              0.0058675,
+              -0.0014459,
+              -0.0240975,
+              -0.0889237,
+              -0.266554
+            ],
+            [
+              0.0095894,
+              0.0083825,
+              0.0057404,
+              -0.0015977,
+              -0.0242517,
+              -0.0890844,
+              -0.2666972
+            ],
+            [
+              0.0097216,
+              0.0084796,
+              0.0058477,
+              -0.0014876,
+              -0.0241405,
+              -0.0889694,
+              -0.2665223
+            ],
+            [
+              0.0106551,
+              0.0093632,
+              0.0066537,
+              -0.0007677,
+              -0.0234639,
+              -0.088269,
+              -0.265846
+            ],
+            [
+              0.015154,
+              0.0136821,
+              0.010091,
+              0.0010786,
+              -0.0214214,
+              -0.086275,
+              -0.2638581
+            ],
+            [
+              0.0230871,
+              0.0215299,
+              0.0176224,
+              0.0081786,
+              -0.0161586,
+              -0.0811016,
+              -0.2587714
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001363916,
+            0.003720531,
+            0.01014898,
+            0.0276847,
+            0.07551919,
+            0.2060036
+          ],
+          "values": [
+            [
+              0.0075121,
+              0.0087281,
+              0.0119872,
+              0.0206672,
+              0.044384,
+              0.1084864,
+              0.2856282
+            ],
+            [
+              0.0074872,
+              0.0087086,
+              0.0119589,
+              0.0206454,
+              0.0444084,
+              0.1085204,
+              0.2858286
+            ],
+            [
+              0.007497,
+              0.0086896,
+              0.0119092,
+              0.0205881,
+              0.0442589,
+              0.1085226,
+              0.2842312
+            ],
+            [
+              0.0076135,
+              0.0088147,
+              0.0119912,
+              0.0206804,
+              0.0442891,
+              0.1086043,
+              0.2832881
+            ],
+            [
+              0.0084809,
+              0.0095978,
+              0.0127403,
+              0.0212305,
+              0.0447218,
+              0.1096635,
+              0.2849831
+            ],
+            [
+              0.0107837,
+              0.0119204,
+              0.0149537,
+              0.0235336,
+              0.0465485,
+              0.1105594,
+              0.2876469
+            ],
+            [
+              0.0175568,
+              0.0185026,
+              0.0214026,
+              0.0297798,
+              0.0528265,
+              0.1169942,
+              0.2908756
+            ]
+          ]
+        }
+      }
+    ],
+    "max_capacitance": 0.206004,
+    "max_transition": 1.498942,
+    "power_down_function": "(!VPWR + VGND)",
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "timing": [
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.2436957,
+              0.2517941,
+              0.2697584,
+              0.3068483,
+              0.3818823,
+              0.5423427,
+              0.9350652
+            ],
+            [
+              0.246267,
+              0.2543045,
+              0.2722485,
+              0.3093063,
+              0.384516,
+              0.5446759,
+              0.9374123
+            ],
+            [
+              0.2546342,
+              0.2626611,
+              0.2805616,
+              0.3175601,
+              0.3927378,
+              0.5530044,
+              0.9454255
+            ],
+            [
+              0.2749325,
+              0.2829694,
+              0.3006157,
+              0.3379228,
+              0.413052,
+              0.5732687,
+              0.9659806
+            ],
+            [
+              0.3157995,
+              0.3237695,
+              0.3418748,
+              0.3791073,
+              0.4543194,
+              0.6144918,
+              1.0073433
+            ],
+            [
+              0.377654,
+              0.3862832,
+              0.4052139,
+              0.4440478,
+              0.5222707,
+              0.6844658,
+              1.0778297
+            ],
+            [
+              0.4854989,
+              0.494968,
+              0.5159119,
+              0.5590852,
+              0.6438607,
+              0.8149035,
+              1.2127214
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.0733046,
+              0.0803707,
+              0.0964638,
+              0.1331937,
+              0.2238185,
+              0.4619541,
+              1.1050258
+            ],
+            [
+              0.0767793,
+              0.0838269,
+              0.0998724,
+              0.1365108,
+              0.2271865,
+              0.4651515,
+              1.1081698
+            ],
+            [
+              0.0857168,
+              0.0927166,
+              0.1086074,
+              0.1450684,
+              0.2355441,
+              0.4737726,
+              1.1170893
+            ],
+            [
+              0.1065116,
+              0.1133697,
+              0.1288739,
+              0.1646116,
+              0.254443,
+              0.4916038,
+              1.1334378
+            ],
+            [
+              0.1343973,
+              0.1419005,
+              0.1581143,
+              0.1939725,
+              0.2835763,
+              0.5210634,
+              1.1644572
+            ],
+            [
+              0.1559884,
+              0.1648303,
+              0.1835833,
+              0.2208761,
+              0.3098379,
+              0.5472249,
+              1.1888921
+            ],
+            [
+              0.1438827,
+              0.1543726,
+              0.1771523,
+              0.2210738,
+              0.310326,
+              0.5489276,
+              1.1918733
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.0350864,
+              0.0409217,
+              0.0552415,
+              0.0862422,
+              0.1579754,
+              0.3334506,
+              0.8355399
+            ],
+            [
+              0.035066,
+              0.0413104,
+              0.0551194,
+              0.0868863,
+              0.1570139,
+              0.3342998,
+              0.8379151
+            ],
+            [
+              0.0350722,
+              0.0413106,
+              0.0550929,
+              0.0864899,
+              0.1577349,
+              0.3341425,
+              0.8385075
+            ],
+            [
+              0.0350482,
+              0.0412986,
+              0.0549745,
+              0.0868882,
+              0.1574278,
+              0.3341474,
+              0.8380659
+            ],
+            [
+              0.0350779,
+              0.0410984,
+              0.054947,
+              0.0868834,
+              0.1570252,
+              0.3340062,
+              0.8422614
+            ],
+            [
+              0.039315,
+              0.0462634,
+              0.0608154,
+              0.0932033,
+              0.1619803,
+              0.3378322,
+              0.8378134
+            ],
+            [
+              0.048839,
+              0.0560755,
+              0.0712763,
+              0.1063095,
+              0.1792649,
+              0.3520624,
+              0.8415296
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.023659,
+              0.0300998,
+              0.0466225,
+              0.091047,
+              0.2154131,
+              0.5573664,
+              1.491452
+            ],
+            [
+              0.0234858,
+              0.0300035,
+              0.046479,
+              0.0910774,
+              0.2151212,
+              0.5577833,
+              1.4946071
+            ],
+            [
+              0.023184,
+              0.0297006,
+              0.0461565,
+              0.0907185,
+              0.2151428,
+              0.5560716,
+              1.4962485
+            ],
+            [
+              0.0234799,
+              0.0298416,
+              0.0460484,
+              0.0902292,
+              0.21439,
+              0.555766,
+              1.49636
+            ],
+            [
+              0.0277123,
+              0.0335597,
+              0.048962,
+              0.0915877,
+              0.2145683,
+              0.5576401,
+              1.4914162
+            ],
+            [
+              0.034479,
+              0.0417607,
+              0.056949,
+              0.0959126,
+              0.2161418,
+              0.5573532,
+              1.4948484
+            ],
+            [
+              0.045291,
+              0.0535498,
+              0.072366,
+              0.1096904,
+              0.2216205,
+              0.5607574,
+              1.4968651
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.2749244,
+              0.2836529,
+              0.3027835,
+              0.3418237,
+              0.4186889,
+              0.5814361,
+              0.9756403
+            ],
+            [
+              0.2785196,
+              0.2872409,
+              0.306356,
+              0.3454796,
+              0.4223394,
+              0.5850436,
+              0.9792473
+            ],
+            [
+              0.2878002,
+              0.2964549,
+              0.3155504,
+              0.3542808,
+              0.4314501,
+              0.5941908,
+              0.9885734
+            ],
+            [
+              0.3069494,
+              0.3155433,
+              0.3344656,
+              0.373544,
+              0.4509703,
+              0.6134621,
+              1.0077754
+            ],
+            [
+              0.3421259,
+              0.350758,
+              0.3700247,
+              0.408859,
+              0.4861482,
+              0.6488657,
+              1.0430765
+            ],
+            [
+              0.3950093,
+              0.4039133,
+              0.423779,
+              0.4641979,
+              0.5437011,
+              0.7077671,
+              1.1026484
+            ],
+            [
+              0.4888978,
+              0.498755,
+              0.5206718,
+              0.5642505,
+              0.6496652,
+              0.8209616,
+              1.2194956
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.077229,
+              0.0843151,
+              0.1003797,
+              0.1370334,
+              0.2277163,
+              0.4653786,
+              1.1068479
+            ],
+            [
+              0.0809326,
+              0.0879454,
+              0.1039992,
+              0.140612,
+              0.2312814,
+              0.469339,
+              1.112579
+            ],
+            [
+              0.0892911,
+              0.096263,
+              0.1122857,
+              0.1487837,
+              0.2393435,
+              0.4768862,
+              1.118199
+            ],
+            [
+              0.1079781,
+              0.11487,
+              0.1305304,
+              0.1665683,
+              0.2568002,
+              0.4948704,
+              1.1378306
+            ],
+            [
+              0.1361968,
+              0.1435822,
+              0.1600352,
+              0.1958293,
+              0.2853247,
+              0.5233811,
+              1.1668955
+            ],
+            [
+              0.1626667,
+              0.1713477,
+              0.1900661,
+              0.2282251,
+              0.3175791,
+              0.5545726,
+              1.196472
+            ],
+            [
+              0.16379,
+              0.1741936,
+              0.1967765,
+              0.2405545,
+              0.3314381,
+              0.5704007,
+              1.2115774
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.0398417,
+              0.0461729,
+              0.0606121,
+              0.0912196,
+              0.162223,
+              0.338736,
+              0.8442848
+            ],
+            [
+              0.0399589,
+              0.0462585,
+              0.0605408,
+              0.091541,
+              0.1623557,
+              0.3386936,
+              0.8442477
+            ],
+            [
+              0.0399117,
+              0.0463684,
+              0.0607141,
+              0.0910196,
+              0.161714,
+              0.3382526,
+              0.8390937
+            ],
+            [
+              0.0399215,
+              0.0461674,
+              0.0600096,
+              0.0924695,
+              0.1623641,
+              0.3381774,
+              0.8441727
+            ],
+            [
+              0.0398296,
+              0.0462105,
+              0.0602175,
+              0.0923393,
+              0.1617351,
+              0.3383025,
+              0.8412473
+            ],
+            [
+              0.0434776,
+              0.0500812,
+              0.0654496,
+              0.0962523,
+              0.1666253,
+              0.3396609,
+              0.8434462
+            ],
+            [
+              0.0518978,
+              0.0593517,
+              0.0752271,
+              0.1072647,
+              0.1801425,
+              0.3543188,
+              0.845601
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.0235165,
+              0.0301045,
+              0.0465228,
+              0.0910413,
+              0.2154148,
+              0.5576077,
+              1.4928565
+            ],
+            [
+              0.0235094,
+              0.0299873,
+              0.0464724,
+              0.0910484,
+              0.2149366,
+              0.5574091,
+              1.4961186
+            ],
+            [
+              0.0232476,
+              0.0297733,
+              0.0462983,
+              0.0908232,
+              0.2151957,
+              0.557155,
+              1.4945697
+            ],
+            [
+              0.0233388,
+              0.0297609,
+              0.0460488,
+              0.0904946,
+              0.2144952,
+              0.5576181,
+              1.4939679
+            ],
+            [
+              0.0265223,
+              0.0332369,
+              0.0486941,
+              0.0916797,
+              0.2141997,
+              0.5572747,
+              1.4939604
+            ],
+            [
+              0.0332755,
+              0.0405268,
+              0.0558795,
+              0.0962987,
+              0.2154784,
+              0.556922,
+              1.4937601
+            ],
+            [
+              0.0429785,
+              0.0512846,
+              0.0694313,
+              0.108502,
+              0.2218737,
+              0.5614061,
+              1.4929413
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.1945565,
+              0.2022926,
+              0.2192792,
+              0.254482,
+              0.3261845,
+              0.4818839,
+              0.8727015
+            ],
+            [
+              0.1971673,
+              0.2048886,
+              0.2219403,
+              0.2572269,
+              0.3289897,
+              0.48451,
+              0.8750061
+            ],
+            [
+              0.2057337,
+              0.2132691,
+              0.2303613,
+              0.2657039,
+              0.3372112,
+              0.4929215,
+              0.8839409
+            ],
+            [
+              0.2255483,
+              0.2332038,
+              0.2502346,
+              0.2855539,
+              0.3570591,
+              0.5127221,
+              0.9037147
+            ],
+            [
+              0.2660823,
+              0.2738016,
+              0.290817,
+              0.3262116,
+              0.3979314,
+              0.5535531,
+              0.9438949
+            ],
+            [
+              0.3339376,
+              0.3423487,
+              0.3610689,
+              0.3989398,
+              0.4748401,
+              0.6339451,
+              1.0249736
+            ],
+            [
+              0.4861153,
+              0.4956628,
+              0.5164366,
+              0.5584312,
+              0.6401966,
+              0.8067008,
+              1.20146
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.0526213,
+              0.0582903,
+              0.0717692,
+              0.1051331,
+              0.1924174,
+              0.4285314,
+              1.0679045
+            ],
+            [
+              0.0565695,
+              0.0622244,
+              0.0756702,
+              0.1090173,
+              0.1966277,
+              0.4314714,
+              1.0746666
+            ],
+            [
+              0.0662183,
+              0.0717332,
+              0.0849482,
+              0.1181572,
+              0.2055842,
+              0.4417041,
+              1.0805523
+            ],
+            [
+              0.0835024,
+              0.089221,
+              0.1023529,
+              0.135331,
+              0.2225744,
+              0.458088,
+              1.1015451
+            ],
+            [
+              0.100315,
+              0.1069176,
+              0.1212573,
+              0.154276,
+              0.2413461,
+              0.476966,
+              1.1203577
+            ],
+            [
+              0.1030441,
+              0.1112508,
+              0.12865,
+              0.1636071,
+              0.2499412,
+              0.4860933,
+              1.1259262
+            ],
+            [
+              0.0577586,
+              0.0677409,
+              0.0897855,
+              0.1315965,
+              0.2189774,
+              0.454961,
+              1.0965301
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.0319026,
+              0.037683,
+              0.0517186,
+              0.0811739,
+              0.1505138,
+              0.3269362,
+              0.8399475
+            ],
+            [
+              0.0320627,
+              0.0378971,
+              0.0512629,
+              0.0818256,
+              0.1505082,
+              0.3274317,
+              0.8351594
+            ],
+            [
+              0.0317018,
+              0.0376709,
+              0.0516207,
+              0.080984,
+              0.150801,
+              0.3274165,
+              0.8381672
+            ],
+            [
+              0.0317715,
+              0.0376546,
+              0.0515249,
+              0.0809992,
+              0.1507496,
+              0.3273456,
+              0.8429802
+            ],
+            [
+              0.0328187,
+              0.0385108,
+              0.0523394,
+              0.0815418,
+              0.1504916,
+              0.3262952,
+              0.8378428
+            ],
+            [
+              0.0389369,
+              0.0449489,
+              0.0590815,
+              0.0900023,
+              0.1579155,
+              0.3322282,
+              0.8371595
+            ],
+            [
+              0.0490507,
+              0.055479,
+              0.0711717,
+              0.1029862,
+              0.1746345,
+              0.3446803,
+              0.839051
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.0181086,
+              0.0238741,
+              0.039826,
+              0.0850118,
+              0.2105962,
+              0.5535047,
+              1.4944088
+            ],
+            [
+              0.0179713,
+              0.0237755,
+              0.0397423,
+              0.0849868,
+              0.2106189,
+              0.5546363,
+              1.4940336
+            ],
+            [
+              0.0178028,
+              0.0235905,
+              0.039641,
+              0.084917,
+              0.2104206,
+              0.5545515,
+              1.4942442
+            ],
+            [
+              0.0198225,
+              0.0252756,
+              0.0405748,
+              0.0849061,
+              0.2103602,
+              0.5545518,
+              1.4946354
+            ],
+            [
+              0.024402,
+              0.0299018,
+              0.0439069,
+              0.0863882,
+              0.2102411,
+              0.5547538,
+              1.4949035
+            ],
+            [
+              0.0316155,
+              0.0379921,
+              0.0523136,
+              0.0906208,
+              0.2119605,
+              0.5537112,
+              1.4939161
+            ],
+            [
+              0.0421036,
+              0.0503006,
+              0.0669656,
+              0.1042695,
+              0.2165885,
+              0.5569915,
+              1.4899284
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.1722919,
+              0.1799561,
+              0.1967407,
+              0.2322136,
+              0.3040848,
+              0.4597004,
+              0.8503502
+            ],
+            [
+              0.1741189,
+              0.1819657,
+              0.1986679,
+              0.2341394,
+              0.3060623,
+              0.4615093,
+              0.8521351
+            ],
+            [
+              0.1817095,
+              0.1893722,
+              0.2064625,
+              0.2415798,
+              0.3133896,
+              0.4690284,
+              0.8595465
+            ],
+            [
+              0.2008566,
+              0.2084498,
+              0.2255752,
+              0.2608633,
+              0.3326447,
+              0.4882312,
+              0.8789842
+            ],
+            [
+              0.2456262,
+              0.2533427,
+              0.2705871,
+              0.3059167,
+              0.3777725,
+              0.5334577,
+              0.924145
+            ],
+            [
+              0.3340928,
+              0.3426111,
+              0.3613003,
+              0.3991847,
+              0.4746356,
+              0.6339702,
+              1.0252459
+            ],
+            [
+              0.5127717,
+              0.522345,
+              0.5433231,
+              0.5856984,
+              0.6668706,
+              0.8304857,
+              1.2251858
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.0499996,
+              0.0552854,
+              0.0681657,
+              0.1008912,
+              0.187559,
+              0.4226843,
+              1.0635258
+            ],
+            [
+              0.0539665,
+              0.0592406,
+              0.0721008,
+              0.1048224,
+              0.191528,
+              0.4265899,
+              1.0675548
+            ],
+            [
+              0.0631742,
+              0.0684328,
+              0.0811833,
+              0.1136941,
+              0.2006517,
+              0.4358532,
+              1.0790884
+            ],
+            [
+              0.0784634,
+              0.0839987,
+              0.0968979,
+              0.129435,
+              0.2163267,
+              0.4524572,
+              1.0922234
+            ],
+            [
+              0.0914133,
+              0.0980283,
+              0.112015,
+              0.1446799,
+              0.2314422,
+              0.4672189,
+              1.1075985
+            ],
+            [
+              0.0878636,
+              0.0961166,
+              0.1132801,
+              0.1476386,
+              0.2339227,
+              0.4692154,
+              1.110906
+            ],
+            [
+              0.0305892,
+              0.0406315,
+              0.0628996,
+              0.105094,
+              0.1937192,
+              0.4296385,
+              1.0720101
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.0320162,
+              0.0378367,
+              0.0509706,
+              0.0816289,
+              0.1499381,
+              0.3258059,
+              0.8360447
+            ],
+            [
+              0.0319291,
+              0.0377522,
+              0.0510028,
+              0.0816894,
+              0.1500443,
+              0.3263329,
+              0.838999
+            ],
+            [
+              0.0319937,
+              0.037795,
+              0.0513517,
+              0.0816613,
+              0.1498412,
+              0.3257508,
+              0.8379082
+            ],
+            [
+              0.0318766,
+              0.0376856,
+              0.0509522,
+              0.0814256,
+              0.1496085,
+              0.3265681,
+              0.8370311
+            ],
+            [
+              0.0339632,
+              0.039815,
+              0.0524389,
+              0.0833052,
+              0.1508435,
+              0.3277306,
+              0.8374387
+            ],
+            [
+              0.0401629,
+              0.0460713,
+              0.0601155,
+              0.0914425,
+              0.1583398,
+              0.332432,
+              0.8369075
+            ],
+            [
+              0.0517519,
+              0.0583954,
+              0.0732686,
+              0.1054084,
+              0.1722563,
+              0.3423372,
+              0.8379812
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.0167813,
+              0.0224669,
+              0.0383499,
+              0.0836345,
+              0.2096306,
+              0.5543746,
+              1.4938648
+            ],
+            [
+              0.0167838,
+              0.0224502,
+              0.0383147,
+              0.0836389,
+              0.2095816,
+              0.5544355,
+              1.4935656
+            ],
+            [
+              0.0168058,
+              0.0224501,
+              0.0384066,
+              0.0837012,
+              0.2096955,
+              0.5532672,
+              1.4946919
+            ],
+            [
+              0.0192083,
+              0.0244661,
+              0.0399476,
+              0.0838583,
+              0.2094042,
+              0.5543462,
+              1.49099
+            ],
+            [
+              0.0238878,
+              0.0292392,
+              0.0433485,
+              0.0854733,
+              0.2097347,
+              0.5532056,
+              1.4906317
+            ],
+            [
+              0.031163,
+              0.0380415,
+              0.0520762,
+              0.0899418,
+              0.2107949,
+              0.5532488,
+              1.4931932
+            ],
+            [
+              0.0421514,
+              0.0505529,
+              0.0685191,
+              0.1051418,
+              0.2162907,
+              0.5576047,
+              1.4916596
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.136701,
+              0.1441985,
+              0.1612462,
+              0.1967753,
+              0.2686662,
+              0.4242984,
+              0.8150527
+            ],
+            [
+              0.1373168,
+              0.14492,
+              0.1621258,
+              0.1974498,
+              0.2693378,
+              0.4249607,
+              0.8157942
+            ],
+            [
+              0.1441997,
+              0.1518781,
+              0.1689886,
+              0.2043169,
+              0.276213,
+              0.4316181,
+              0.8224392
+            ],
+            [
+              0.1661511,
+              0.1738095,
+              0.191038,
+              0.2263196,
+              0.2979209,
+              0.4534172,
+              0.844179
+            ],
+            [
+              0.2228067,
+              0.2303208,
+              0.2471437,
+              0.2818714,
+              0.3534265,
+              0.5087528,
+              0.8996939
+            ],
+            [
+              0.3213572,
+              0.3296749,
+              0.3478191,
+              0.3838264,
+              0.4568884,
+              0.6156879,
+              1.0062979
+            ],
+            [
+              0.4990569,
+              0.5085414,
+              0.5291455,
+              0.5698764,
+              0.6463696,
+              0.8063225,
+              1.2002935
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.0437227,
+              0.0488056,
+              0.0612317,
+              0.0931252,
+              0.1790722,
+              0.4142119,
+              1.0552099
+            ],
+            [
+              0.0477547,
+              0.0527996,
+              0.0652068,
+              0.0972661,
+              0.1831335,
+              0.4183618,
+              1.0650594
+            ],
+            [
+              0.0566963,
+              0.0617313,
+              0.0740882,
+              0.1060896,
+              0.1922947,
+              0.4274986,
+              1.0768662
+            ],
+            [
+              0.0687071,
+              0.0742614,
+              0.0871631,
+              0.1192201,
+              0.2063688,
+              0.4407143,
+              1.0930545
+            ],
+            [
+              0.0765784,
+              0.0832437,
+              0.0974969,
+              0.1300565,
+              0.2164472,
+              0.4509538,
+              1.0958814
+            ],
+            [
+              0.0654906,
+              0.0740256,
+              0.0918632,
+              0.1275295,
+              0.2132533,
+              0.448497,
+              1.0869793
+            ],
+            [
+              -0.0029308,
+              0.0075005,
+              0.0305107,
+              0.0757345,
+              0.1641026,
+              0.4008407,
+              1.043281
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.0316987,
+              0.0375221,
+              0.050927,
+              0.0814923,
+              0.1500637,
+              0.3262341,
+              0.8369648
+            ],
+            [
+              0.0318428,
+              0.0376795,
+              0.0514152,
+              0.0815147,
+              0.1500437,
+              0.3265668,
+              0.8374327
+            ],
+            [
+              0.0319314,
+              0.0376714,
+              0.0510415,
+              0.0814716,
+              0.150461,
+              0.3266738,
+              0.8385529
+            ],
+            [
+              0.0315786,
+              0.0375502,
+              0.0508877,
+              0.0813498,
+              0.1499064,
+              0.3270181,
+              0.8381802
+            ],
+            [
+              0.0326981,
+              0.0382096,
+              0.0515968,
+              0.0812979,
+              0.1500679,
+              0.3273576,
+              0.8312548
+            ],
+            [
+              0.0408306,
+              0.0464852,
+              0.0594417,
+              0.0885366,
+              0.1557696,
+              0.3321103,
+              0.8393522
+            ],
+            [
+              0.0536179,
+              0.0598248,
+              0.0734665,
+              0.1025174,
+              0.164487,
+              0.3378314,
+              0.8400636
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.0159912,
+              0.0216481,
+              0.0376141,
+              0.0830576,
+              0.2091921,
+              0.5535825,
+              1.4967796
+            ],
+            [
+              0.0159895,
+              0.0216768,
+              0.0376773,
+              0.0832001,
+              0.2093602,
+              0.5541367,
+              1.4980139
+            ],
+            [
+              0.0163539,
+              0.0219365,
+              0.0378527,
+              0.0831724,
+              0.2093766,
+              0.5537328,
+              1.495168
+            ],
+            [
+              0.0193765,
+              0.0245553,
+              0.0395431,
+              0.0836157,
+              0.2093319,
+              0.5545833,
+              1.4976745
+            ],
+            [
+              0.0245013,
+              0.0299751,
+              0.0436319,
+              0.0853629,
+              0.2096315,
+              0.5546544,
+              1.4989416
+            ],
+            [
+              0.032653,
+              0.0396306,
+              0.053453,
+              0.0913839,
+              0.2115433,
+              0.5536855,
+              1.4900443
+            ],
+            [
+              0.044698,
+              0.0535565,
+              0.072768,
+              0.1105807,
+              0.2191584,
+              0.5589839,
+              1.4902872
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      }
+    ]
+  }
+}
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_100C_1v95.lib.json b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_100C_1v95.lib.json
new file mode 100644
index 0000000..eca3a85
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_100C_1v95.lib.json
@@ -0,0 +1,3016 @@
+{
+  "area": 11.2608,
+  "cell_footprint": "a2111o",
+  "cell_leakage_power": 3.78173,
+  "driver_waveform_fall": "ramp",
+  "driver_waveform_rise": "ramp",
+  "leakage_power": [
+    {
+      "value": 1.53749,
+      "when": "A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 1.3012739,
+      "when": "A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 1.3261842,
+      "when": "A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 5.2498694,
+      "when": "!A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 18.2371487,
+      "when": "!A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 1.3701387,
+      "when": "!A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 2.9324074,
+      "when": "!A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 1.3580679,
+      "when": "!A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 2.6459501,
+      "when": "!A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 1.3082045,
+      "when": "!A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 1.347195,
+      "when": "!A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 5.2496545,
+      "when": "!A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 19.6942196,
+      "when": "!A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 1.3701384,
+      "when": "!A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 2.9323686,
+      "when": "!A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 1.3580678,
+      "when": "!A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 2.645923,
+      "when": "!A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 1.3082045,
+      "when": "!A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 1.3471949,
+      "when": "!A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 5.2495426,
+      "when": "A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 19.1117557,
+      "when": "A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 1.3701383,
+      "when": "A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 2.9323484,
+      "when": "A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 1.3580677,
+      "when": "A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 2.645909,
+      "when": "A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 1.3082044,
+      "when": "A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 1.3471948,
+      "when": "A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 1.5857351,
+      "when": "A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 5.3642104,
+      "when": "A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 1.3407156,
+      "when": "A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.5475711,
+      "when": "A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 1.3342782,
+      "when": "A1&A2&B1&!C1&D1"
+    }
+  ],
+  "pg_pin VGND": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VGND"
+  },
+  "pg_pin VNB": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VNB"
+  },
+  "pg_pin VPB": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPB"
+  },
+  "pg_pin VPWR": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPWR"
+  },
+  "pin A1": {
+    "capacitance": 0.002533,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002463,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0055956,
+          0.0055914,
+          0.0055861,
+          0.0055849,
+          0.0055866,
+          0.0055951,
+          0.0056193
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0045594,
+          -0.0045652,
+          -0.0045736,
+          -0.0045662,
+          -0.004544,
+          -0.0044877,
+          -0.0043529
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002603
+  },
+  "pin A2": {
+    "capacitance": 0.002395,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002306,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0048595,
+          0.0048294,
+          0.0047648,
+          0.0047666,
+          0.0047754,
+          0.0048002,
+          0.0048622
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0047691,
+          -0.0047679,
+          -0.00476,
+          -0.0047614,
+          -0.0047595,
+          -0.0047501,
+          -0.0047232
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002484
+  },
+  "pin B1": {
+    "capacitance": 0.002541,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002421,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0052612,
+          0.005256,
+          0.0052459,
+          0.0052443,
+          0.0052427,
+          0.0052412,
+          0.0052398
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0041759,
+          -0.0042563,
+          -0.0044373,
+          -0.004459,
+          -0.0045047,
+          -0.0046053,
+          -0.0048327
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002662
+  },
+  "pin C1": {
+    "capacitance": 0.002484,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002332,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0046881,
+          0.0046833,
+          0.0046746,
+          0.0046715,
+          0.0046666,
+          0.0046574,
+          0.0046383
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.003691,
+          -0.0038698,
+          -0.0042773,
+          -0.004294,
+          -0.0043279,
+          -0.0044019,
+          -0.0045681
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002636
+  },
+  "pin D1": {
+    "capacitance": 0.002461,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002263,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0029387,
+          0.0029342,
+          0.0029258,
+          0.0029331,
+          0.0029518,
+          0.0029969,
+          0.0031029
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0018602,
+          -0.0018657,
+          -0.0018752,
+          -0.0018775,
+          -0.0018793,
+          -0.0018802,
+          -0.0018785
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.00266
+  },
+  "pin X": {
+    "direction": "output",
+    "function": "(A1&A2) | (B1) | (C1) | (D1)",
+    "internal_power": [
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001407725,
+            0.003963381,
+            0.0111587,
+            0.03141678,
+            0.08845239,
+            0.2490334
+          ],
+          "values": [
+            [
+              0.0234902,
+              0.0214368,
+              0.0164152,
+              0.0043595,
+              -0.0326791,
+              -0.1409984,
+              -0.4464292
+            ],
+            [
+              0.0231673,
+              0.0210788,
+              0.0161127,
+              0.0040444,
+              -0.0330102,
+              -0.1413286,
+              -0.4467056
+            ],
+            [
+              0.0228714,
+              0.0207434,
+              0.015746,
+              0.0036939,
+              -0.033353,
+              -0.1416917,
+              -0.4470754
+            ],
+            [
+              0.0225745,
+              0.0204947,
+              0.0154899,
+              0.0034233,
+              -0.0336333,
+              -0.1419533,
+              -0.4473771
+            ],
+            [
+              0.0229768,
+              0.0207691,
+              0.015613,
+              0.0033396,
+              -0.0337239,
+              -0.1420536,
+              -0.4475254
+            ],
+            [
+              0.0304392,
+              0.0280631,
+              0.0220777,
+              0.0071268,
+              -0.0306171,
+              -0.1391086,
+              -0.4446272
+            ],
+            [
+              0.0502948,
+              0.0480299,
+              0.0416575,
+              0.0257848,
+              -0.0145229,
+              -0.123775,
+              -0.4296048
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001407725,
+            0.003963381,
+            0.0111587,
+            0.03141678,
+            0.08845239,
+            0.2490334
+          ],
+          "values": [
+            [
+              0.0197094,
+              0.0216463,
+              0.0270628,
+              0.0414891,
+              0.0803594,
+              0.1876024,
+              0.4912288
+            ],
+            [
+              0.019499,
+              0.0214353,
+              0.0268616,
+              0.0412787,
+              0.0800989,
+              0.1872867,
+              0.4907932
+            ],
+            [
+              0.0194212,
+              0.0213397,
+              0.0267497,
+              0.0411141,
+              0.0797669,
+              0.1879078,
+              0.490376
+            ],
+            [
+              0.0201231,
+              0.0219404,
+              0.027095,
+              0.0412285,
+              0.0799079,
+              0.1878901,
+              0.4903425
+            ],
+            [
+              0.0234624,
+              0.0249934,
+              0.0293462,
+              0.0431,
+              0.0811755,
+              0.1883065,
+              0.4920163
+            ],
+            [
+              0.0317389,
+              0.0330669,
+              0.0374599,
+              0.0502997,
+              0.0870519,
+              0.1938211,
+              0.4968675
+            ],
+            [
+              0.0524762,
+              0.053597,
+              0.0573271,
+              0.069491,
+              0.1054695,
+              0.2107898,
+              0.5102488
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001407725,
+            0.003963381,
+            0.0111587,
+            0.03141678,
+            0.08845239,
+            0.2490334
+          ],
+          "values": [
+            [
+              0.0282326,
+              0.0260648,
+              0.0207367,
+              0.0083557,
+              -0.0286609,
+              -0.1369547,
+              -0.4423701
+            ],
+            [
+              0.0280633,
+              0.0258867,
+              0.0205772,
+              0.008197,
+              -0.0288025,
+              -0.1371269,
+              -0.4424778
+            ],
+            [
+              0.0279541,
+              0.02577,
+              0.0204096,
+              0.0081203,
+              -0.028933,
+              -0.1372492,
+              -0.4426743
+            ],
+            [
+              0.0278781,
+              0.025572,
+              0.0202309,
+              0.0079106,
+              -0.0291248,
+              -0.1374379,
+              -0.4428535
+            ],
+            [
+              0.0279906,
+              0.0258241,
+              0.020367,
+              0.0078869,
+              -0.029147,
+              -0.1374852,
+              -0.4429327
+            ],
+            [
+              0.0352851,
+              0.032934,
+              0.0268018,
+              0.0116227,
+              -0.0258473,
+              -0.1343266,
+              -0.4398465
+            ],
+            [
+              0.0566368,
+              0.0541153,
+              0.0476813,
+              0.0317514,
+              -0.0085745,
+              -0.1179074,
+              -0.4237451
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001407725,
+            0.003963381,
+            0.0111587,
+            0.03141678,
+            0.08845239,
+            0.2490334
+          ],
+          "values": [
+            [
+              0.0198019,
+              0.0217084,
+              0.0271684,
+              0.0415864,
+              0.0803468,
+              0.1884429,
+              0.4908881
+            ],
+            [
+              0.0195955,
+              0.021518,
+              0.0269597,
+              0.0413658,
+              0.0802093,
+              0.1875884,
+              0.4889363
+            ],
+            [
+              0.019468,
+              0.0213853,
+              0.0268015,
+              0.0411634,
+              0.0799929,
+              0.1872366,
+              0.4907751
+            ],
+            [
+              0.0199285,
+              0.0217571,
+              0.0270567,
+              0.0412164,
+              0.0799185,
+              0.1879544,
+              0.4907721
+            ],
+            [
+              0.0229709,
+              0.0244927,
+              0.0289524,
+              0.0427529,
+              0.0809582,
+              0.1890159,
+              0.4914568
+            ],
+            [
+              0.03099,
+              0.0323522,
+              0.0367029,
+              0.0494275,
+              0.0867791,
+              0.1934364,
+              0.4962277
+            ],
+            [
+              0.0514732,
+              0.0527319,
+              0.056706,
+              0.0689591,
+              0.1051231,
+              0.210934,
+              0.5106532
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001407725,
+            0.003963381,
+            0.0111587,
+            0.03141678,
+            0.08845239,
+            0.2490334
+          ],
+          "values": [
+            [
+              0.0198855,
+              0.0178184,
+              0.0130806,
+              0.0011269,
+              -0.036105,
+              -0.1444364,
+              -0.4498112
+            ],
+            [
+              0.0195495,
+              0.017524,
+              0.0128073,
+              0.0008538,
+              -0.0363493,
+              -0.1447296,
+              -0.4501207
+            ],
+            [
+              0.0193152,
+              0.0172962,
+              0.0125449,
+              0.0006125,
+              -0.0366147,
+              -0.1450043,
+              -0.4503619
+            ],
+            [
+              0.0191928,
+              0.0171149,
+              0.0123472,
+              0.0004154,
+              -0.0368261,
+              -0.1451742,
+              -0.4505364
+            ],
+            [
+              0.0203825,
+              0.0183065,
+              0.0132946,
+              0.0011471,
+              -0.0362267,
+              -0.1446676,
+              -0.4500642
+            ],
+            [
+              0.0302197,
+              0.0278816,
+              0.0219659,
+              0.0070495,
+              -0.0310218,
+              -0.1395445,
+              -0.4450366
+            ],
+            [
+              0.0498143,
+              0.0473641,
+              0.0412759,
+              0.0255575,
+              -0.0145515,
+              -0.1236468,
+              -0.4294083
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001407725,
+            0.003963381,
+            0.0111587,
+            0.03141678,
+            0.08845239,
+            0.2490334
+          ],
+          "values": [
+            [
+              0.0164662,
+              0.0183421,
+              0.0234728,
+              0.037445,
+              0.0757014,
+              0.1827217,
+              0.4840783
+            ],
+            [
+              0.0164274,
+              0.0182995,
+              0.0234373,
+              0.0373599,
+              0.0757473,
+              0.1836063,
+              0.4838397
+            ],
+            [
+              0.0167097,
+              0.0185172,
+              0.0235714,
+              0.0374419,
+              0.0758222,
+              0.1835942,
+              0.4840624
+            ],
+            [
+              0.0174814,
+              0.0192299,
+              0.0240889,
+              0.0377379,
+              0.0762319,
+              0.1830999,
+              0.4864542
+            ],
+            [
+              0.02075,
+              0.0223058,
+              0.0268535,
+              0.0399612,
+              0.0777844,
+              0.1855377,
+              0.4881966
+            ],
+            [
+              0.0290074,
+              0.0304137,
+              0.0347973,
+              0.047537,
+              0.0845279,
+              0.1913855,
+              0.4918365
+            ],
+            [
+              0.0496702,
+              0.0507021,
+              0.0547789,
+              0.067146,
+              0.1029786,
+              0.2081561,
+              0.5088701
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001407725,
+            0.003963381,
+            0.0111587,
+            0.03141678,
+            0.08845239,
+            0.2490334
+          ],
+          "values": [
+            [
+              0.0172468,
+              0.0151027,
+              0.0105081,
+              -0.0014565,
+              -0.0387024,
+              -0.1470938,
+              -0.45245
+            ],
+            [
+              0.0170264,
+              0.0148947,
+              0.0101388,
+              -0.0017234,
+              -0.038988,
+              -0.1473597,
+              -0.4527071
+            ],
+            [
+              0.0166893,
+              0.0146357,
+              0.0099404,
+              -0.0020108,
+              -0.0392651,
+              -0.1476544,
+              -0.4530042
+            ],
+            [
+              0.016697,
+              0.0146026,
+              0.0098197,
+              -0.0021359,
+              -0.0393804,
+              -0.1477036,
+              -0.4530638
+            ],
+            [
+              0.01896,
+              0.016834,
+              0.0118275,
+              -0.0004128,
+              -0.0377717,
+              -0.1462492,
+              -0.4516457
+            ],
+            [
+              0.0288791,
+              0.0265561,
+              0.0206081,
+              0.0056197,
+              -0.0323253,
+              -0.1408139,
+              -0.4463154
+            ],
+            [
+              0.0473673,
+              0.0448961,
+              0.0385022,
+              0.0228661,
+              -0.0172282,
+              -0.1262725,
+              -0.431999
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001407725,
+            0.003963381,
+            0.0111587,
+            0.03141678,
+            0.08845239,
+            0.2490334
+          ],
+          "values": [
+            [
+              0.0145098,
+              0.016316,
+              0.0213231,
+              0.0351658,
+              0.07341,
+              0.181081,
+              0.4836337
+            ],
+            [
+              0.0147009,
+              0.0164881,
+              0.0214834,
+              0.035305,
+              0.0735121,
+              0.1807978,
+              0.4839993
+            ],
+            [
+              0.0152387,
+              0.0169872,
+              0.0219074,
+              0.0356821,
+              0.07402,
+              0.1818774,
+              0.4839288
+            ],
+            [
+              0.0160356,
+              0.0177149,
+              0.0224901,
+              0.0360589,
+              0.074516,
+              0.1812592,
+              0.4829413
+            ],
+            [
+              0.0190474,
+              0.0206635,
+              0.0251681,
+              0.0382906,
+              0.0762537,
+              0.1831814,
+              0.4864847
+            ],
+            [
+              0.0265246,
+              0.027984,
+              0.0324168,
+              0.0452965,
+              0.0821759,
+              0.1892347,
+              0.491417
+            ],
+            [
+              0.0457961,
+              0.0468951,
+              0.0508164,
+              0.0632107,
+              0.0999702,
+              0.2055636,
+              0.5053631
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001407725,
+            0.003963381,
+            0.0111587,
+            0.03141678,
+            0.08845239,
+            0.2490334
+          ],
+          "values": [
+            [
+              0.0159925,
+              0.013997,
+              0.0093052,
+              -0.0025848,
+              -0.0398382,
+              -0.148216,
+              -0.4536097
+            ],
+            [
+              0.0157702,
+              0.0137133,
+              0.0089873,
+              -0.0028799,
+              -0.0401535,
+              -0.1485092,
+              -0.4538509
+            ],
+            [
+              0.0156707,
+              0.0136473,
+              0.0089678,
+              -0.002966,
+              -0.0401346,
+              -0.1485014,
+              -0.4539106
+            ],
+            [
+              0.0165196,
+              0.0144061,
+              0.0097547,
+              -0.0022301,
+              -0.0394643,
+              -0.1477577,
+              -0.4531029
+            ],
+            [
+              0.0197147,
+              0.0175915,
+              0.0126708,
+              0.0004619,
+              -0.036896,
+              -0.1452954,
+              -0.4505935
+            ],
+            [
+              0.0310783,
+              0.0287066,
+              0.0227071,
+              0.0077527,
+              -0.0301225,
+              -0.1386453,
+              -0.4441353
+            ],
+            [
+              0.0513394,
+              0.0487584,
+              0.0425665,
+              0.0267361,
+              -0.0135326,
+              -0.1225686,
+              -0.4282941
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001407725,
+            0.003963381,
+            0.0111587,
+            0.03141678,
+            0.08845239,
+            0.2490334
+          ],
+          "values": [
+            [
+              0.0104506,
+              0.0122067,
+              0.0170645,
+              0.0305837,
+              0.0687161,
+              0.1756338,
+              0.4775991
+            ],
+            [
+              0.0105118,
+              0.0122526,
+              0.0171135,
+              0.0306232,
+              0.0685164,
+              0.1755721,
+              0.4779151
+            ],
+            [
+              0.0108153,
+              0.012526,
+              0.0173204,
+              0.0308448,
+              0.068931,
+              0.1767304,
+              0.4760553
+            ],
+            [
+              0.0118889,
+              0.0134349,
+              0.0181433,
+              0.0315246,
+              0.0697478,
+              0.1766699,
+              0.4782316
+            ],
+            [
+              0.0149482,
+              0.0165329,
+              0.0211191,
+              0.0339853,
+              0.0718975,
+              0.1784738,
+              0.4801724
+            ],
+            [
+              0.0229864,
+              0.0243814,
+              0.0287449,
+              0.0416639,
+              0.0785155,
+              0.1851169,
+              0.4854764
+            ],
+            [
+              0.0437323,
+              0.0448577,
+              0.0487031,
+              0.0609004,
+              0.0972616,
+              0.2032672,
+              0.5020719
+            ]
+          ]
+        }
+      }
+    ],
+    "max_capacitance": 0.249033,
+    "max_transition": 1.498815,
+    "power_down_function": "(!VPWR + VGND)",
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "timing": [
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.1806496,
+              0.1872475,
+              0.2026084,
+              0.2361405,
+              0.3073021,
+              0.469395,
+              0.8944816
+            ],
+            [
+              0.1826914,
+              0.1893187,
+              0.2046754,
+              0.2381076,
+              0.3091884,
+              0.4714092,
+              0.895906
+            ],
+            [
+              0.1893222,
+              0.1959448,
+              0.2113544,
+              0.2446498,
+              0.3157971,
+              0.4780804,
+              0.9029812
+            ],
+            [
+              0.2040322,
+              0.2105516,
+              0.2260504,
+              0.2593938,
+              0.3304783,
+              0.4926873,
+              0.9176869
+            ],
+            [
+              0.22812,
+              0.2348436,
+              0.2503293,
+              0.2839111,
+              0.3553988,
+              0.517911,
+              0.9427497
+            ],
+            [
+              0.2741743,
+              0.2813439,
+              0.2979,
+              0.3335289,
+              0.4079747,
+              0.5740137,
+              0.9992701
+            ],
+            [
+              0.412496,
+              0.4206618,
+              0.4393875,
+              0.4792541,
+              0.5619105,
+              0.7359239,
+              1.1640227
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.06184,
+              0.0677965,
+              0.0820057,
+              0.1155992,
+              0.2009888,
+              0.4327767,
+              1.0794668
+            ],
+            [
+              0.0646003,
+              0.070542,
+              0.0847422,
+              0.1182426,
+              0.20366,
+              0.4356233,
+              1.0828118
+            ],
+            [
+              0.0720392,
+              0.0779337,
+              0.0919759,
+              0.1251565,
+              0.2104382,
+              0.4425579,
+              1.0908403
+            ],
+            [
+              0.0876548,
+              0.0935197,
+              0.1070075,
+              0.1401659,
+              0.2246065,
+              0.4567063,
+              1.1056082
+            ],
+            [
+              0.1011158,
+              0.1075981,
+              0.1219253,
+              0.1546106,
+              0.2382489,
+              0.4696354,
+              1.1168267
+            ],
+            [
+              0.09751,
+              0.1045751,
+              0.1211784,
+              0.1550393,
+              0.238239,
+              0.4693125,
+              1.1167512
+            ],
+            [
+              0.0418635,
+              0.0499908,
+              0.0689021,
+              0.1096784,
+              0.1959494,
+              0.429234,
+              1.0782975
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.0293866,
+              0.034742,
+              0.04726,
+              0.0761317,
+              0.1461557,
+              0.3331311,
+              0.8817286
+            ],
+            [
+              0.0293729,
+              0.0348369,
+              0.0471693,
+              0.0764619,
+              0.1460166,
+              0.3329973,
+              0.8858233
+            ],
+            [
+              0.0294215,
+              0.0348432,
+              0.0470828,
+              0.0766244,
+              0.1464741,
+              0.3322449,
+              0.8874186
+            ],
+            [
+              0.0290214,
+              0.0348386,
+              0.0469618,
+              0.0765326,
+              0.1458445,
+              0.3330125,
+              0.8828036
+            ],
+            [
+              0.030945,
+              0.0366151,
+              0.0489788,
+              0.0770188,
+              0.147947,
+              0.3326374,
+              0.8856348
+            ],
+            [
+              0.0355484,
+              0.042001,
+              0.0545054,
+              0.0849584,
+              0.1553287,
+              0.3386463,
+              0.888774
+            ],
+            [
+              0.0478793,
+              0.054242,
+              0.0687133,
+              0.1003097,
+              0.1720047,
+              0.3525707,
+              0.8889726
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.0195314,
+              0.0255026,
+              0.0406562,
+              0.0818851,
+              0.2003667,
+              0.5371588,
+              1.4931992
+            ],
+            [
+              0.019421,
+              0.0253661,
+              0.0405399,
+              0.0817639,
+              0.2003512,
+              0.5380589,
+              1.4944513
+            ],
+            [
+              0.0191179,
+              0.0250491,
+              0.040147,
+              0.0815033,
+              0.2000342,
+              0.5382788,
+              1.493593
+            ],
+            [
+              0.0201217,
+              0.0259556,
+              0.0407673,
+              0.0813784,
+              0.1998675,
+              0.5380539,
+              1.4900726
+            ],
+            [
+              0.023788,
+              0.029556,
+              0.0437749,
+              0.0828815,
+              0.1997984,
+              0.5368412,
+              1.49201
+            ],
+            [
+              0.0301899,
+              0.0364035,
+              0.0517509,
+              0.0886633,
+              0.2021181,
+              0.5388677,
+              1.4944289
+            ],
+            [
+              0.0426026,
+              0.0486422,
+              0.0655179,
+              0.1040758,
+              0.2115382,
+              0.5449134,
+              1.4955356
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.2047052,
+              0.2118615,
+              0.2284129,
+              0.2636602,
+              0.3371266,
+              0.5017531,
+              0.9275244
+            ],
+            [
+              0.2076657,
+              0.2148899,
+              0.2313952,
+              0.2665914,
+              0.3400718,
+              0.5047013,
+              0.9303847
+            ],
+            [
+              0.2146728,
+              0.2219473,
+              0.238354,
+              0.2736714,
+              0.3470995,
+              0.5117625,
+              0.9376284
+            ],
+            [
+              0.227471,
+              0.2347458,
+              0.2511759,
+              0.2864966,
+              0.3598875,
+              0.5245461,
+              0.950383
+            ],
+            [
+              0.2473399,
+              0.2545937,
+              0.2712297,
+              0.3065211,
+              0.3799192,
+              0.544679,
+              0.9705798
+            ],
+            [
+              0.2882639,
+              0.2958717,
+              0.3133447,
+              0.3504934,
+              0.4266284,
+              0.5940538,
+              1.0205565
+            ],
+            [
+              0.4270548,
+              0.4355078,
+              0.4547693,
+              0.4956177,
+              0.5773157,
+              0.7512699,
+              1.1802805
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.0651683,
+              0.0711478,
+              0.0854597,
+              0.118902,
+              0.2044337,
+              0.4366864,
+              1.0855217
+            ],
+            [
+              0.0679488,
+              0.0738856,
+              0.0881084,
+              0.1215778,
+              0.2068432,
+              0.4391222,
+              1.0885843
+            ],
+            [
+              0.0744589,
+              0.0803795,
+              0.094423,
+              0.1277038,
+              0.2129566,
+              0.4447389,
+              1.0915506
+            ],
+            [
+              0.0874078,
+              0.0932742,
+              0.1072065,
+              0.1398019,
+              0.2246462,
+              0.4568405,
+              1.1061323
+            ],
+            [
+              0.1002538,
+              0.1065426,
+              0.1212194,
+              0.1541845,
+              0.2383045,
+              0.4703846,
+              1.1188835
+            ],
+            [
+              0.0961499,
+              0.1032566,
+              0.1200377,
+              0.1550775,
+              0.2394827,
+              0.4709775,
+              1.1192341
+            ],
+            [
+              0.0396628,
+              0.0479087,
+              0.0675809,
+              0.108149,
+              0.1966871,
+              0.4312871,
+              1.0817626
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.033868,
+              0.0399129,
+              0.0533486,
+              0.0815851,
+              0.151503,
+              0.3371131,
+              0.890373
+            ],
+            [
+              0.0338355,
+              0.0394075,
+              0.0534405,
+              0.0815106,
+              0.1515731,
+              0.3373121,
+              0.8876055
+            ],
+            [
+              0.0339058,
+              0.0400689,
+              0.0525364,
+              0.0824276,
+              0.1513594,
+              0.3367648,
+              0.893997
+            ],
+            [
+              0.034106,
+              0.0399263,
+              0.0525189,
+              0.0825018,
+              0.1514391,
+              0.3367794,
+              0.8933621
+            ],
+            [
+              0.0353075,
+              0.0410758,
+              0.0532879,
+              0.0823727,
+              0.1523074,
+              0.3365441,
+              0.886941
+            ],
+            [
+              0.0395721,
+              0.0453016,
+              0.058978,
+              0.0879946,
+              0.1583027,
+              0.3406557,
+              0.8865123
+            ],
+            [
+              0.0505149,
+              0.0571143,
+              0.0714021,
+              0.1034371,
+              0.1728905,
+              0.3520406,
+              0.8914628
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.0195054,
+              0.0253898,
+              0.0406487,
+              0.0817748,
+              0.1999355,
+              0.5373865,
+              1.4898313
+            ],
+            [
+              0.0194172,
+              0.0254044,
+              0.0405115,
+              0.0817436,
+              0.2000915,
+              0.5382266,
+              1.4943176
+            ],
+            [
+              0.0191895,
+              0.0251293,
+              0.0402417,
+              0.0814706,
+              0.2002374,
+              0.5374486,
+              1.4939121
+            ],
+            [
+              0.0199698,
+              0.0257929,
+              0.0406458,
+              0.0813174,
+              0.1999976,
+              0.536782,
+              1.4931142
+            ],
+            [
+              0.0230917,
+              0.0291405,
+              0.0437872,
+              0.0831399,
+              0.1998949,
+              0.5380535,
+              1.4916223
+            ],
+            [
+              0.0294095,
+              0.0355799,
+              0.0513101,
+              0.0889976,
+              0.2028211,
+              0.5375304,
+              1.4945029
+            ],
+            [
+              0.0412347,
+              0.0478157,
+              0.0653585,
+              0.104638,
+              0.2135132,
+              0.5475884,
+              1.494281
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.1442281,
+              0.1505615,
+              0.1652463,
+              0.1973193,
+              0.2657212,
+              0.4243443,
+              0.8480989
+            ],
+            [
+              0.1465295,
+              0.1528768,
+              0.1675754,
+              0.1995021,
+              0.2678728,
+              0.4266504,
+              0.8506737
+            ],
+            [
+              0.1532151,
+              0.1594558,
+              0.1739779,
+              0.2062188,
+              0.2745345,
+              0.4333396,
+              0.8571108
+            ],
+            [
+              0.1675469,
+              0.1738755,
+              0.1885518,
+              0.2205367,
+              0.2889274,
+              0.4476472,
+              0.8715979
+            ],
+            [
+              0.1950174,
+              0.2016974,
+              0.2170703,
+              0.2496295,
+              0.3188066,
+              0.4781978,
+              0.9023451
+            ],
+            [
+              0.2685502,
+              0.2755662,
+              0.2916787,
+              0.3263177,
+              0.3986809,
+              0.5610856,
+              0.985061
+            ],
+            [
+              0.4430034,
+              0.4508431,
+              0.468904,
+              0.5071283,
+              0.5859761,
+              0.7541364,
+              1.180235
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.0453079,
+              0.0502368,
+              0.0622245,
+              0.092785,
+              0.1756292,
+              0.4062948,
+              1.0527093
+            ],
+            [
+              0.0484957,
+              0.0533945,
+              0.065434,
+              0.0959715,
+              0.178461,
+              0.4083479,
+              1.0581289
+            ],
+            [
+              0.0564062,
+              0.0610773,
+              0.0728514,
+              0.1031798,
+              0.1855684,
+              0.4158465,
+              1.0655221
+            ],
+            [
+              0.0668252,
+              0.0719182,
+              0.083994,
+              0.1137847,
+              0.1963167,
+              0.4271505,
+              1.0730473
+            ],
+            [
+              0.0694744,
+              0.0753754,
+              0.0884966,
+              0.118725,
+              0.2004721,
+              0.4306552,
+              1.0796001
+            ],
+            [
+              0.0462879,
+              0.0530619,
+              0.0688595,
+              0.1011214,
+              0.1829535,
+              0.4133519,
+              1.0601612
+            ],
+            [
+              -0.0470059,
+              -0.0390354,
+              -0.0203538,
+              0.0185347,
+              0.1030535,
+              0.335628,
+              0.9806297
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.0268247,
+              0.0320417,
+              0.0438875,
+              0.0720962,
+              0.1404958,
+              0.3285555,
+              0.8887175
+            ],
+            [
+              0.0264515,
+              0.031495,
+              0.0436622,
+              0.0721699,
+              0.1401452,
+              0.3285111,
+              0.8833581
+            ],
+            [
+              0.0265196,
+              0.0320007,
+              0.043565,
+              0.0720731,
+              0.1404878,
+              0.3277896,
+              0.8875018
+            ],
+            [
+              0.0267254,
+              0.0321082,
+              0.0440443,
+              0.0723431,
+              0.1405051,
+              0.3285905,
+              0.8861692
+            ],
+            [
+              0.0296857,
+              0.0355074,
+              0.0469277,
+              0.0749878,
+              0.1428519,
+              0.329509,
+              0.8799353
+            ],
+            [
+              0.0347988,
+              0.0400223,
+              0.0529975,
+              0.0819109,
+              0.1501316,
+              0.3335336,
+              0.8901297
+            ],
+            [
+              0.0451521,
+              0.0517198,
+              0.0650038,
+              0.0955832,
+              0.1639253,
+              0.3428219,
+              0.8867122
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.0155245,
+              0.0206088,
+              0.0348019,
+              0.0762757,
+              0.1961967,
+              0.5348476,
+              1.4901181
+            ],
+            [
+              0.0154599,
+              0.0205165,
+              0.0347464,
+              0.0763402,
+              0.1960071,
+              0.5359136,
+              1.4932261
+            ],
+            [
+              0.0153787,
+              0.0205027,
+              0.0346566,
+              0.0761568,
+              0.1958151,
+              0.5361593,
+              1.4937052
+            ],
+            [
+              0.017753,
+              0.0226353,
+              0.0362321,
+              0.0767186,
+              0.1964186,
+              0.5356725,
+              1.4924636
+            ],
+            [
+              0.0217119,
+              0.0269863,
+              0.0398562,
+              0.0781157,
+              0.1963433,
+              0.5361699,
+              1.4924596
+            ],
+            [
+              0.0275056,
+              0.0335411,
+              0.0479942,
+              0.0837048,
+              0.1984567,
+              0.5365466,
+              1.4917989
+            ],
+            [
+              0.0387355,
+              0.0451758,
+              0.0620304,
+              0.0992419,
+              0.207929,
+              0.5426175,
+              1.4925494
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.126645,
+              0.1330081,
+              0.1476849,
+              0.1797529,
+              0.2480963,
+              0.4068564,
+              0.8309298
+            ],
+            [
+              0.1284475,
+              0.1347948,
+              0.1494803,
+              0.1814794,
+              0.2498586,
+              0.4085306,
+              0.8325274
+            ],
+            [
+              0.1344131,
+              0.140776,
+              0.1551851,
+              0.1874189,
+              0.2557475,
+              0.4145748,
+              0.8384956
+            ],
+            [
+              0.1504937,
+              0.1568266,
+              0.1714863,
+              0.2033759,
+              0.2717726,
+              0.4306561,
+              0.8546794
+            ],
+            [
+              0.1913456,
+              0.1979501,
+              0.213191,
+              0.2459385,
+              0.3153106,
+              0.4744533,
+              0.8980131
+            ],
+            [
+              0.2826651,
+              0.2896857,
+              0.3056682,
+              0.3400193,
+              0.411804,
+              0.5739723,
+              0.9985611
+            ],
+            [
+              0.4765892,
+              0.4844076,
+              0.5023443,
+              0.5401984,
+              0.6171781,
+              0.7828673,
+              1.2093032
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.0427561,
+              0.0474271,
+              0.0588645,
+              0.0887008,
+              0.1712491,
+              0.4007818,
+              1.0478191
+            ],
+            [
+              0.0460192,
+              0.050633,
+              0.0620843,
+              0.0920232,
+              0.1741876,
+              0.403955,
+              1.0528033
+            ],
+            [
+              0.0534424,
+              0.0580016,
+              0.0693142,
+              0.0990606,
+              0.1812598,
+              0.4119694,
+              1.0573535
+            ],
+            [
+              0.0616482,
+              0.0665496,
+              0.0782366,
+              0.1078808,
+              0.19019,
+              0.4201381,
+              1.0662906
+            ],
+            [
+              0.0606416,
+              0.0664307,
+              0.0792372,
+              0.1091851,
+              0.19104,
+              0.4213887,
+              1.0672122
+            ],
+            [
+              0.0306272,
+              0.0376036,
+              0.0530012,
+              0.0853745,
+              0.1668837,
+              0.396371,
+              1.0439566
+            ],
+            [
+              -0.0762711,
+              -0.0684444,
+              -0.0502836,
+              -0.0111126,
+              0.0733139,
+              0.3056366,
+              0.9542142
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.026764,
+              0.0315989,
+              0.0440447,
+              0.0720907,
+              0.1403718,
+              0.3279476,
+              0.8848542
+            ],
+            [
+              0.026877,
+              0.0314695,
+              0.0435475,
+              0.0720372,
+              0.1404485,
+              0.3286704,
+              0.8865169
+            ],
+            [
+              0.026944,
+              0.0316625,
+              0.0435861,
+              0.0718607,
+              0.1405032,
+              0.3281946,
+              0.8862347
+            ],
+            [
+              0.0269918,
+              0.0320784,
+              0.043988,
+              0.072476,
+              0.1404544,
+              0.3282022,
+              0.8871969
+            ],
+            [
+              0.0303038,
+              0.035513,
+              0.0472768,
+              0.0751366,
+              0.1429508,
+              0.3292399,
+              0.8904191
+            ],
+            [
+              0.0351375,
+              0.0405353,
+              0.0534996,
+              0.081011,
+              0.1493249,
+              0.3330242,
+              0.8830578
+            ],
+            [
+              0.0462887,
+              0.0521778,
+              0.0653813,
+              0.0952458,
+              0.1615709,
+              0.3406207,
+              0.8861776
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.0143961,
+              0.0193258,
+              0.0333204,
+              0.0752849,
+              0.195869,
+              0.5356027,
+              1.4931825
+            ],
+            [
+              0.0143413,
+              0.0192661,
+              0.033399,
+              0.0753083,
+              0.1957449,
+              0.5358944,
+              1.4923666
+            ],
+            [
+              0.0146925,
+              0.0195557,
+              0.0335576,
+              0.0752954,
+              0.1957593,
+              0.535253,
+              1.4937447
+            ],
+            [
+              0.0171116,
+              0.021961,
+              0.0351995,
+              0.0757417,
+              0.1956268,
+              0.5350576,
+              1.4931762
+            ],
+            [
+              0.0210818,
+              0.0262637,
+              0.0389378,
+              0.0774537,
+              0.1959862,
+              0.5357141,
+              1.4917663
+            ],
+            [
+              0.0269457,
+              0.0332701,
+              0.0473822,
+              0.0831439,
+              0.1981426,
+              0.5375413,
+              1.4915948
+            ],
+            [
+              0.037941,
+              0.0440185,
+              0.0607323,
+              0.0986057,
+              0.2058871,
+              0.5424826,
+              1.4894801
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.0989568,
+              0.1053027,
+              0.1201167,
+              0.1520688,
+              0.2205326,
+              0.3793207,
+              0.8033161
+            ],
+            [
+              0.1000919,
+              0.1064468,
+              0.1211613,
+              0.1531951,
+              0.2216681,
+              0.3804058,
+              0.8047226
+            ],
+            [
+              0.1080108,
+              0.1143408,
+              0.1290246,
+              0.1609974,
+              0.2294325,
+              0.3882271,
+              0.812165
+            ],
+            [
+              0.1319342,
+              0.138257,
+              0.1527481,
+              0.1843136,
+              0.2527095,
+              0.4115451,
+              0.8352266
+            ],
+            [
+              0.1843638,
+              0.1907052,
+              0.2051682,
+              0.2370185,
+              0.3057847,
+              0.4649511,
+              0.8888841
+            ],
+            [
+              0.2825923,
+              0.2893976,
+              0.3047097,
+              0.3370705,
+              0.4061637,
+              0.5681906,
+              0.9921582
+            ],
+            [
+              0.4812921,
+              0.4888527,
+              0.505916,
+              0.5412091,
+              0.6131272,
+              0.774987,
+              1.2010501
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.0368914,
+              0.0413287,
+              0.0523661,
+              0.0814115,
+              0.163066,
+              0.3928989,
+              1.0426665
+            ],
+            [
+              0.0402085,
+              0.0446333,
+              0.0556485,
+              0.0849603,
+              0.1666269,
+              0.3961654,
+              1.047529
+            ],
+            [
+              0.0468201,
+              0.0513511,
+              0.0623247,
+              0.0915807,
+              0.1732699,
+              0.4025711,
+              1.0626836
+            ],
+            [
+              0.0518216,
+              0.0567918,
+              0.0684397,
+              0.0975919,
+              0.1790043,
+              0.4091705,
+              1.0617148
+            ],
+            [
+              0.0460347,
+              0.0519565,
+              0.0651279,
+              0.0950362,
+              0.1762199,
+              0.4059368,
+              1.0538855
+            ],
+            [
+              0.0087592,
+              0.0157445,
+              0.0315857,
+              0.0644111,
+              0.1463616,
+              0.3763239,
+              1.0245845
+            ],
+            [
+              -0.1115697,
+              -0.1035502,
+              -0.0849169,
+              -0.0445883,
+              0.0415168,
+              0.2734499,
+              0.9214097
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.0263512,
+              0.0320711,
+              0.0437188,
+              0.0722821,
+              0.1405058,
+              0.3282494,
+              0.8819515
+            ],
+            [
+              0.0267086,
+              0.0319464,
+              0.0438525,
+              0.0720465,
+              0.1405105,
+              0.327965,
+              0.8853743
+            ],
+            [
+              0.0262917,
+              0.0314824,
+              0.0441096,
+              0.0720393,
+              0.1401098,
+              0.327583,
+              0.8881245
+            ],
+            [
+              0.0259322,
+              0.0307286,
+              0.043344,
+              0.0713064,
+              0.1402706,
+              0.3282659,
+              0.8887318
+            ],
+            [
+              0.0289472,
+              0.0340319,
+              0.0459035,
+              0.0735953,
+              0.1419383,
+              0.3283662,
+              0.889118
+            ],
+            [
+              0.0351209,
+              0.040506,
+              0.0512731,
+              0.0783535,
+              0.1464047,
+              0.3328298,
+              0.8892534
+            ],
+            [
+              0.0463448,
+              0.0519,
+              0.0629748,
+              0.0902115,
+              0.1537787,
+              0.3364121,
+              0.8870051
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.0136134,
+              0.0185474,
+              0.0328107,
+              0.074854,
+              0.195864,
+              0.5358001,
+              1.4988146
+            ],
+            [
+              0.0136334,
+              0.0185141,
+              0.0327991,
+              0.0749785,
+              0.1957587,
+              0.5368494,
+              1.4963081
+            ],
+            [
+              0.0145623,
+              0.0192591,
+              0.033088,
+              0.0749411,
+              0.1956642,
+              0.536986,
+              1.4974557
+            ],
+            [
+              0.0171921,
+              0.0219038,
+              0.0350426,
+              0.0754714,
+              0.1956245,
+              0.5352209,
+              1.4949504
+            ],
+            [
+              0.0214142,
+              0.0267873,
+              0.0395252,
+              0.0775123,
+              0.1961638,
+              0.5356735,
+              1.494302
+            ],
+            [
+              0.0278518,
+              0.034256,
+              0.0490148,
+              0.084398,
+              0.1988431,
+              0.5361292,
+              1.4878089
+            ],
+            [
+              0.0401932,
+              0.0470963,
+              0.0644132,
+              0.103244,
+              0.2089308,
+              0.5444479,
+              1.4918383
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      }
+    ]
+  }
+}
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_n40C_1v56.lib.json b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_n40C_1v56.lib.json
new file mode 100644
index 0000000..4fa5e5c
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_n40C_1v56.lib.json
@@ -0,0 +1,3016 @@
+{
+  "area": 11.2608,
+  "cell_footprint": "a2111o",
+  "cell_leakage_power": 0.0002433553,
+  "driver_waveform_fall": "ramp",
+  "driver_waveform_rise": "ramp",
+  "leakage_power": [
+    {
+      "value": 3.4071829e-05,
+      "when": "A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0003741,
+      "when": "A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 3.6144617e-05,
+      "when": "A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.000375,
+      "when": "!A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0004349,
+      "when": "!A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0003741,
+      "when": "!A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 3.624146e-05,
+      "when": "!A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0003742,
+      "when": "!A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 3.4284265e-05,
+      "when": "!A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0003741,
+      "when": "!A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 3.6146487e-05,
+      "when": "!A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.000375,
+      "when": "!A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0004483,
+      "when": "!A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0003741,
+      "when": "!A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 3.624146e-05,
+      "when": "!A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0003742,
+      "when": "!A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 3.4284265e-05,
+      "when": "!A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0003741,
+      "when": "!A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 3.6146487e-05,
+      "when": "!A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.000375,
+      "when": "A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0004451,
+      "when": "A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0003741,
+      "when": "A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 3.624146e-05,
+      "when": "A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0003742,
+      "when": "A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 3.4284265e-05,
+      "when": "A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0003741,
+      "when": "A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 3.6146487e-05,
+      "when": "A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0003745,
+      "when": "A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 4.3741202e-05,
+      "when": "A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0003741,
+      "when": "A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 3.6096716e-05,
+      "when": "A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0003742,
+      "when": "A1&A2&B1&!C1&D1"
+    }
+  ],
+  "pg_pin VGND": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VGND"
+  },
+  "pg_pin VNB": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VNB"
+  },
+  "pg_pin VPB": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPB"
+  },
+  "pg_pin VPWR": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPWR"
+  },
+  "pin A1": {
+    "capacitance": 0.002251,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002147,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0031596,
+          0.0031598,
+          0.0031603,
+          0.0031615,
+          0.0031644,
+          0.003171,
+          0.0031862
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0025592,
+          -0.0025623,
+          -0.0025694,
+          -0.0025649,
+          -0.0025546,
+          -0.0025307,
+          -0.0024757
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002354
+  },
+  "pin A2": {
+    "capacitance": 0.002121,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002022,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0026343,
+          0.0026347,
+          0.0026357,
+          0.0026367,
+          0.002639,
+          0.0026443,
+          0.0026566
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0026276,
+          -0.0026302,
+          -0.0026362,
+          -0.0026362,
+          -0.0026362,
+          -0.0026361,
+          -0.0026358
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002221
+  },
+  "pin B1": {
+    "capacitance": 0.002279,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002148,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0027506,
+          0.0027478,
+          0.0027413,
+          0.002742,
+          0.0027439,
+          0.0027481,
+          0.0027578
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.002402,
+          -0.002416,
+          -0.0024482,
+          -0.0024574,
+          -0.0024784,
+          -0.002527,
+          -0.0026388
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002409
+  },
+  "pin C1": {
+    "capacitance": 0.002243,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002099,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0025529,
+          0.0025516,
+          0.0025484,
+          0.0025477,
+          0.002546,
+          0.0025422,
+          0.0025334
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0023418,
+          -0.0023742,
+          -0.0024489,
+          -0.0024513,
+          -0.0024568,
+          -0.0024696,
+          -0.002499
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002387
+  },
+  "pin D1": {
+    "capacitance": 0.002227,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002036,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0018011,
+          0.0018005,
+          0.0017991,
+          0.0018045,
+          0.0018169,
+          0.0018455,
+          0.0019114
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.001297,
+          -0.0012977,
+          -0.0012992,
+          -0.0013004,
+          -0.001303,
+          -0.001309,
+          -0.0013229
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002419
+  },
+  "pin X": {
+    "direction": "output",
+    "function": "(A1&A2) | (B1) | (C1) | (D1)",
+    "internal_power": [
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001304206,
+            0.003401907,
+            0.008873575,
+            0.02314594,
+            0.06037414,
+            0.1574807
+          ],
+          "values": [
+            [
+              0.0094761,
+              0.0088678,
+              0.0069751,
+              0.0015786,
+              -0.014199,
+              -0.0586197,
+              -0.1766023
+            ],
+            [
+              0.0093177,
+              0.0087005,
+              0.0068831,
+              0.0014394,
+              -0.0143481,
+              -0.0587728,
+              -0.1767442
+            ],
+            [
+              0.0091694,
+              0.0085388,
+              0.006638,
+              0.0012387,
+              -0.0145485,
+              -0.0589705,
+              -0.1769397
+            ],
+            [
+              0.0090145,
+              0.0084072,
+              0.0065451,
+              0.0011175,
+              -0.0146937,
+              -0.0591108,
+              -0.1770795
+            ],
+            [
+              0.0088734,
+              0.0082781,
+              0.0063738,
+              0.0009315,
+              -0.0148422,
+              -0.0592291,
+              -0.177192
+            ],
+            [
+              0.0088825,
+              0.0082254,
+              0.0063201,
+              0.0009122,
+              -0.0149573,
+              -0.059282,
+              -0.1772272
+            ],
+            [
+              0.0104863,
+              0.0095295,
+              0.0070195,
+              0.0004518,
+              -0.0150979,
+              -0.0593621,
+              -0.1772937
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001304206,
+            0.003401907,
+            0.008873575,
+            0.02314594,
+            0.06037414,
+            0.1574807
+          ],
+          "values": [
+            [
+              0.0101726,
+              0.0113818,
+              0.0143411,
+              0.0214669,
+              0.0391668,
+              0.0845937,
+              0.2014474
+            ],
+            [
+              0.0101367,
+              0.0113591,
+              0.0142758,
+              0.021426,
+              0.0391063,
+              0.0846099,
+              0.2013449
+            ],
+            [
+              0.0101001,
+              0.0113107,
+              0.0142375,
+              0.0213346,
+              0.0391324,
+              0.0841508,
+              0.2022632
+            ],
+            [
+              0.010098,
+              0.0112695,
+              0.0141029,
+              0.0211599,
+              0.0389571,
+              0.0839412,
+              0.2020321
+            ],
+            [
+              0.0102475,
+              0.0112472,
+              0.0140176,
+              0.0208861,
+              0.0384559,
+              0.0837637,
+              0.2018379
+            ],
+            [
+              0.010677,
+              0.011699,
+              0.0142834,
+              0.020929,
+              0.038354,
+              0.0837659,
+              0.2017826
+            ],
+            [
+              0.0109628,
+              0.0118837,
+              0.0144788,
+              0.021063,
+              0.0387235,
+              0.083888,
+              0.2002255
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001304206,
+            0.003401907,
+            0.008873575,
+            0.02314594,
+            0.06037414,
+            0.1574807
+          ],
+          "values": [
+            [
+              0.0114908,
+              0.0109262,
+              0.0090241,
+              0.0036007,
+              -0.0121561,
+              -0.0564321,
+              -0.1743406
+            ],
+            [
+              0.0114053,
+              0.0108407,
+              0.0089371,
+              0.003516,
+              -0.0122421,
+              -0.0565217,
+              -0.174426
+            ],
+            [
+              0.0113893,
+              0.0107178,
+              0.008849,
+              0.0034229,
+              -0.0123551,
+              -0.0566223,
+              -0.1745263
+            ],
+            [
+              0.0112435,
+              0.0106417,
+              0.0087818,
+              0.0033212,
+              -0.0124853,
+              -0.0567299,
+              -0.1746275
+            ],
+            [
+              0.0111904,
+              0.0104657,
+              0.0086759,
+              0.003216,
+              -0.0124907,
+              -0.0568076,
+              -0.174713
+            ],
+            [
+              0.0110933,
+              0.0104253,
+              0.0085811,
+              0.0031983,
+              -0.0126105,
+              -0.0568654,
+              -0.1747462
+            ],
+            [
+              0.012642,
+              0.0116665,
+              0.0091407,
+              0.0027802,
+              -0.0127378,
+              -0.0569285,
+              -0.1747998
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001304206,
+            0.003401907,
+            0.008873575,
+            0.02314594,
+            0.06037414,
+            0.1574807
+          ],
+          "values": [
+            [
+              0.010213,
+              0.0114363,
+              0.0143813,
+              0.0214968,
+              0.0391625,
+              0.0846705,
+              0.2013362
+            ],
+            [
+              0.0101656,
+              0.0113862,
+              0.0143273,
+              0.0214394,
+              0.0391079,
+              0.0846117,
+              0.2012804
+            ],
+            [
+              0.0101108,
+              0.0113211,
+              0.0142519,
+              0.021358,
+              0.0389623,
+              0.0844888,
+              0.2009592
+            ],
+            [
+              0.0100949,
+              0.0112854,
+              0.0141607,
+              0.0212142,
+              0.0390127,
+              0.084047,
+              0.2020595
+            ],
+            [
+              0.0102356,
+              0.0112804,
+              0.0141107,
+              0.0210273,
+              0.038651,
+              0.0839001,
+              0.2020114
+            ],
+            [
+              0.0108016,
+              0.0118402,
+              0.0143517,
+              0.0209726,
+              0.0385957,
+              0.0836177,
+              0.2017652
+            ],
+            [
+              0.0110754,
+              0.0120426,
+              0.01469,
+              0.0213206,
+              0.0387943,
+              0.0839379,
+              0.2015069
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001304206,
+            0.003401907,
+            0.008873575,
+            0.02314594,
+            0.06037414,
+            0.1574807
+          ],
+          "values": [
+            [
+              0.0084269,
+              0.0077612,
+              0.0059703,
+              0.0005865,
+              -0.0153307,
+              -0.0598913,
+              -0.1779173
+            ],
+            [
+              0.0082751,
+              0.0076287,
+              0.0058793,
+              0.0004702,
+              -0.0154455,
+              -0.060047,
+              -0.1780806
+            ],
+            [
+              0.0081717,
+              0.0075338,
+              0.0057002,
+              0.0003659,
+              -0.0155922,
+              -0.0601664,
+              -0.1781866
+            ],
+            [
+              0.0080875,
+              0.0074235,
+              0.0056327,
+              0.0001893,
+              -0.0156801,
+              -0.0602404,
+              -0.1782599
+            ],
+            [
+              0.0080217,
+              0.0073514,
+              0.0055602,
+              0.0001327,
+              -0.0157959,
+              -0.060318,
+              -0.178322
+            ],
+            [
+              0.0079703,
+              0.0072641,
+              0.0055127,
+              6.69e-05,
+              -0.0158765,
+              -0.0603986,
+              -0.1783948
+            ],
+            [
+              0.0103763,
+              0.0094122,
+              0.0069611,
+              0.0004128,
+              -0.0162446,
+              -0.0604778,
+              -0.1784499
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001304206,
+            0.003401907,
+            0.008873575,
+            0.02314594,
+            0.06037414,
+            0.1574807
+          ],
+          "values": [
+            [
+              0.0091042,
+              0.0102155,
+              0.012977,
+              0.019823,
+              0.0373738,
+              0.0825179,
+              0.1989716
+            ],
+            [
+              0.0091065,
+              0.0102141,
+              0.0129565,
+              0.0197982,
+              0.0372089,
+              0.0825263,
+              0.2001898
+            ],
+            [
+              0.0090887,
+              0.0101863,
+              0.01292,
+              0.0197363,
+              0.0371164,
+              0.082153,
+              0.1992518
+            ],
+            [
+              0.0089808,
+              0.010045,
+              0.0127512,
+              0.0195621,
+              0.0369733,
+              0.0823857,
+              0.2000423
+            ],
+            [
+              0.0091426,
+              0.0101374,
+              0.0126247,
+              0.0193672,
+              0.0366543,
+              0.0817885,
+              0.1988398
+            ],
+            [
+              0.0091417,
+              0.0101041,
+              0.0127248,
+              0.019451,
+              0.0367386,
+              0.0815036,
+              0.1988385
+            ],
+            [
+              0.0093485,
+              0.0102953,
+              0.0129703,
+              0.0195121,
+              0.0370974,
+              0.082196,
+              0.1990888
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001304206,
+            0.003401907,
+            0.008873575,
+            0.02314594,
+            0.06037414,
+            0.1574807
+          ],
+          "values": [
+            [
+              0.0071887,
+              0.0065529,
+              0.0047761,
+              -0.0007046,
+              -0.0166024,
+              -0.0611907,
+              -0.1791847
+            ],
+            [
+              0.0070129,
+              0.0063448,
+              0.0045517,
+              -0.0008343,
+              -0.0167328,
+              -0.0613192,
+              -0.1793376
+            ],
+            [
+              0.0068827,
+              0.0062217,
+              0.0043728,
+              -0.0009351,
+              -0.016881,
+              -0.0614553,
+              -0.1794529
+            ],
+            [
+              0.0068018,
+              0.0061362,
+              0.0043073,
+              -0.0010656,
+              -0.0170174,
+              -0.0615545,
+              -0.1795579
+            ],
+            [
+              0.0066887,
+              0.0061082,
+              0.0042652,
+              -0.0011585,
+              -0.0170665,
+              -0.0616017,
+              -0.1796053
+            ],
+            [
+              0.0066105,
+              0.0059694,
+              0.0041489,
+              -0.0012431,
+              -0.0171371,
+              -0.0616897,
+              -0.1796867
+            ],
+            [
+              0.0092603,
+              0.0082872,
+              0.0057674,
+              -0.0006927,
+              -0.0175121,
+              -0.0617616,
+              -0.1797209
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001304206,
+            0.003401907,
+            0.008873575,
+            0.02314594,
+            0.06037414,
+            0.1574807
+          ],
+          "values": [
+            [
+              0.0085331,
+              0.0095998,
+              0.0122714,
+              0.0190484,
+              0.0363404,
+              0.0816896,
+              0.1991441
+            ],
+            [
+              0.0085655,
+              0.0096272,
+              0.0123013,
+              0.0190916,
+              0.0363758,
+              0.0812155,
+              0.1992676
+            ],
+            [
+              0.0086046,
+              0.009648,
+              0.0123057,
+              0.0191238,
+              0.036579,
+              0.0813984,
+              0.1984025
+            ],
+            [
+              0.0084927,
+              0.009531,
+              0.0121395,
+              0.0188968,
+              0.0364409,
+              0.0813051,
+              0.1983126
+            ],
+            [
+              0.0084411,
+              0.0093997,
+              0.011897,
+              0.0186797,
+              0.0360916,
+              0.0814323,
+              0.1981344
+            ],
+            [
+              0.0082797,
+              0.009325,
+              0.0119133,
+              0.0185891,
+              0.0359619,
+              0.0809131,
+              0.1987204
+            ],
+            [
+              0.0084098,
+              0.0094271,
+              0.0119435,
+              0.0186649,
+              0.036067,
+              0.0812122,
+              0.1981171
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001304206,
+            0.003401907,
+            0.008873575,
+            0.02314594,
+            0.06037414,
+            0.1574807
+          ],
+          "values": [
+            [
+              0.006688,
+              0.005995,
+              0.0041607,
+              -0.0011281,
+              -0.0171263,
+              -0.0616643,
+              -0.1796691
+            ],
+            [
+              0.0064378,
+              0.0058222,
+              0.0040515,
+              -0.001336,
+              -0.0172896,
+              -0.0618482,
+              -0.1798606
+            ],
+            [
+              0.0062765,
+              0.0056553,
+              0.0038591,
+              -0.0014986,
+              -0.0174762,
+              -0.0619923,
+              -0.1800057
+            ],
+            [
+              0.0061673,
+              0.0055514,
+              0.0037119,
+              -0.0016514,
+              -0.0175663,
+              -0.0621443,
+              -0.1801534
+            ],
+            [
+              0.0062039,
+              0.0055551,
+              0.0037646,
+              -0.0016207,
+              -0.0175925,
+              -0.0621591,
+              -0.1801447
+            ],
+            [
+              0.0062415,
+              0.0056058,
+              0.0038247,
+              -0.0016088,
+              -0.0174538,
+              -0.0620554,
+              -0.1800339
+            ],
+            [
+              0.0095727,
+              0.0085841,
+              0.0060428,
+              -0.0005447,
+              -0.0178376,
+              -0.0622781,
+              -0.1801802
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001304206,
+            0.003401907,
+            0.008873575,
+            0.02314594,
+            0.06037414,
+            0.1574807
+          ],
+          "values": [
+            [
+              0.0065565,
+              0.0075638,
+              0.0101248,
+              0.016712,
+              0.0338367,
+              0.0785281,
+              0.1966117
+            ],
+            [
+              0.0065265,
+              0.0075231,
+              0.0100911,
+              0.0167557,
+              0.0338199,
+              0.0785076,
+              0.1955162
+            ],
+            [
+              0.0064047,
+              0.0074125,
+              0.0099915,
+              0.0166355,
+              0.0339837,
+              0.0791211,
+              0.1957879
+            ],
+            [
+              0.0062764,
+              0.0072695,
+              0.0098306,
+              0.0164708,
+              0.0337739,
+              0.0790413,
+              0.1941931
+            ],
+            [
+              0.0061761,
+              0.0071455,
+              0.0096504,
+              0.0163079,
+              0.0334427,
+              0.0788605,
+              0.1955341
+            ],
+            [
+              0.0060779,
+              0.0070718,
+              0.0096398,
+              0.0163295,
+              0.0335489,
+              0.0783497,
+              0.1952884
+            ],
+            [
+              0.0062272,
+              0.007207,
+              0.0097272,
+              0.0164317,
+              0.033825,
+              0.0787033,
+              0.1945746
+            ]
+          ]
+        }
+      }
+    ],
+    "max_capacitance": 0.157481,
+    "max_transition": 1.507106,
+    "power_down_function": "(!VPWR + VGND)",
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "timing": [
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.3522459,
+              0.3618315,
+              0.380648,
+              0.4143738,
+              0.4774648,
+              0.600293,
+              0.8680264
+            ],
+            [
+              0.3553666,
+              0.3648265,
+              0.3837725,
+              0.4176239,
+              0.4807665,
+              0.6034003,
+              0.8712241
+            ],
+            [
+              0.3667747,
+              0.3762285,
+              0.3949612,
+              0.4286747,
+              0.4917086,
+              0.6145613,
+              0.8824036
+            ],
+            [
+              0.4002298,
+              0.4097676,
+              0.428229,
+              0.4625803,
+              0.5255404,
+              0.6481334,
+              0.9164431
+            ],
+            [
+              0.4768265,
+              0.4864248,
+              0.5053617,
+              0.5397069,
+              0.6014337,
+              0.7245209,
+              0.9929507
+            ],
+            [
+              0.6386007,
+              0.6480216,
+              0.6669986,
+              0.7014395,
+              0.7642061,
+              0.8870258,
+              1.155132
+            ],
+            [
+              0.959579,
+              0.9701215,
+              0.9902899,
+              1.0268401,
+              1.09287,
+              1.2186994,
+              1.4892333
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.0698712,
+              0.0769687,
+              0.0937406,
+              0.133578,
+              0.2317199,
+              0.4811524,
+              1.1266373
+            ],
+            [
+              0.0741506,
+              0.0813869,
+              0.0979705,
+              0.137775,
+              0.2358538,
+              0.4851193,
+              1.1311212
+            ],
+            [
+              0.0849184,
+              0.0920937,
+              0.1086473,
+              0.1482438,
+              0.2463827,
+              0.4954684,
+              1.142107
+            ],
+            [
+              0.1091038,
+              0.1161858,
+              0.1322174,
+              0.1712967,
+              0.2691702,
+              0.5186199,
+              1.1625626
+            ],
+            [
+              0.1453809,
+              0.1527552,
+              0.169263,
+              0.2080098,
+              0.3054492,
+              0.5550797,
+              1.1992694
+            ],
+            [
+              0.189618,
+              0.1982976,
+              0.215911,
+              0.2549729,
+              0.3513761,
+              0.6004494,
+              1.2484892
+            ],
+            [
+              0.220013,
+              0.2316672,
+              0.2537076,
+              0.2949559,
+              0.3916387,
+              0.640658,
+              1.2856332
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.0424004,
+              0.0481509,
+              0.0599154,
+              0.0851807,
+              0.1379413,
+              0.2605113,
+              0.5758927
+            ],
+            [
+              0.0423277,
+              0.0482839,
+              0.0598844,
+              0.0851131,
+              0.1379194,
+              0.2607501,
+              0.5757141
+            ],
+            [
+              0.0424615,
+              0.0481175,
+              0.0600094,
+              0.085158,
+              0.1378974,
+              0.2607275,
+              0.5756949
+            ],
+            [
+              0.0424438,
+              0.0481688,
+              0.0601164,
+              0.0849565,
+              0.1379102,
+              0.260278,
+              0.578518
+            ],
+            [
+              0.0422118,
+              0.0478493,
+              0.0595805,
+              0.0838986,
+              0.1379245,
+              0.2593494,
+              0.5759125
+            ],
+            [
+              0.042592,
+              0.0482141,
+              0.0600242,
+              0.0839766,
+              0.1361512,
+              0.2607211,
+              0.5783816
+            ],
+            [
+              0.0483518,
+              0.0541338,
+              0.0662653,
+              0.0915075,
+              0.1449456,
+              0.2657974,
+              0.5794176
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.0225661,
+              0.0301808,
+              0.0496229,
+              0.1003244,
+              0.2338409,
+              0.5809839,
+              1.4974757
+            ],
+            [
+              0.0224723,
+              0.030003,
+              0.0494446,
+              0.1006797,
+              0.2340655,
+              0.5816248,
+              1.4984476
+            ],
+            [
+              0.0222724,
+              0.0297099,
+              0.0491096,
+              0.1004547,
+              0.2336471,
+              0.581054,
+              1.4944266
+            ],
+            [
+              0.0225207,
+              0.0297984,
+              0.0488227,
+              0.0997271,
+              0.2336812,
+              0.5834264,
+              1.4908595
+            ],
+            [
+              0.0251322,
+              0.03189,
+              0.0499837,
+              0.1000037,
+              0.233305,
+              0.5834459,
+              1.4900591
+            ],
+            [
+              0.0316901,
+              0.0381018,
+              0.0540013,
+              0.1008368,
+              0.2343903,
+              0.580744,
+              1.4918674
+            ],
+            [
+              0.0443452,
+              0.0507275,
+              0.0658031,
+              0.1076477,
+              0.2340751,
+              0.584381,
+              1.4883823
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.3971979,
+              0.4075578,
+              0.4273877,
+              0.4630666,
+              0.5274668,
+              0.6519247,
+              0.9218132
+            ],
+            [
+              0.4016567,
+              0.4120128,
+              0.4318461,
+              0.4675219,
+              0.5319398,
+              0.6563933,
+              0.9262782
+            ],
+            [
+              0.4151932,
+              0.4254555,
+              0.4453358,
+              0.4809503,
+              0.5455177,
+              0.6699144,
+              0.9398158
+            ],
+            [
+              0.4480604,
+              0.4585312,
+              0.4784566,
+              0.5143189,
+              0.5785279,
+              0.7029581,
+              0.9729683
+            ],
+            [
+              0.5199939,
+              0.5303369,
+              0.550324,
+              0.5858898,
+              0.6496943,
+              0.7743166,
+              1.0444164
+            ],
+            [
+              0.6692359,
+              0.6795661,
+              0.6996204,
+              0.7349901,
+              0.7995336,
+              0.9239651,
+              1.1939708
+            ],
+            [
+              0.9665901,
+              0.9778106,
+              0.9992281,
+              1.0365007,
+              1.1024778,
+              1.2299697,
+              1.5015723
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.0735002,
+              0.0807579,
+              0.0974658,
+              0.1372472,
+              0.235441,
+              0.4843551,
+              1.1308815
+            ],
+            [
+              0.0783068,
+              0.085548,
+              0.1022042,
+              0.1419396,
+              0.2400853,
+              0.4890526,
+              1.1355134
+            ],
+            [
+              0.0892661,
+              0.09645,
+              0.1130229,
+              0.1526722,
+              0.2508264,
+              0.4993959,
+              1.1467717
+            ],
+            [
+              0.1132986,
+              0.1203282,
+              0.1366409,
+              0.1758353,
+              0.2738224,
+              0.523113,
+              1.1685379
+            ],
+            [
+              0.1544704,
+              0.161857,
+              0.1784198,
+              0.2173765,
+              0.3148551,
+              0.5642396,
+              1.2091961
+            ],
+            [
+              0.2115147,
+              0.2199044,
+              0.2373996,
+              0.2766619,
+              0.3738699,
+              0.622543,
+              1.2676065
+            ],
+            [
+              0.2710436,
+              0.2817136,
+              0.3035569,
+              0.3451931,
+              0.4419386,
+              0.6917081,
+              1.3356002
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.0473294,
+              0.0526471,
+              0.0640235,
+              0.0882273,
+              0.1408502,
+              0.2624589,
+              0.5810222
+            ],
+            [
+              0.0473319,
+              0.0526621,
+              0.0640273,
+              0.0882212,
+              0.1409025,
+              0.2625077,
+              0.5809829
+            ],
+            [
+              0.0469247,
+              0.0527901,
+              0.0640802,
+              0.08832,
+              0.1413351,
+              0.2625566,
+              0.5809903
+            ],
+            [
+              0.0470484,
+              0.052987,
+              0.0645732,
+              0.0878631,
+              0.1398799,
+              0.2627814,
+              0.5812291
+            ],
+            [
+              0.0472219,
+              0.0524858,
+              0.0640438,
+              0.0880855,
+              0.1416771,
+              0.2637799,
+              0.5782431
+            ],
+            [
+              0.0472239,
+              0.0528565,
+              0.0642357,
+              0.0887794,
+              0.1414441,
+              0.2627692,
+              0.5812351
+            ],
+            [
+              0.0522147,
+              0.0579403,
+              0.0697007,
+              0.0934063,
+              0.1466368,
+              0.2684281,
+              0.5822915
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.022515,
+              0.0300042,
+              0.0493531,
+              0.100454,
+              0.2344299,
+              0.5821973,
+              1.4976761
+            ],
+            [
+              0.022411,
+              0.0299071,
+              0.0493412,
+              0.1005655,
+              0.234384,
+              0.582148,
+              1.4976965
+            ],
+            [
+              0.0222361,
+              0.0298023,
+              0.0491707,
+              0.100435,
+              0.2340537,
+              0.5821978,
+              1.4941851
+            ],
+            [
+              0.022324,
+              0.0297073,
+              0.0489286,
+              0.0997638,
+              0.2336021,
+              0.5828959,
+              1.4943989
+            ],
+            [
+              0.0245696,
+              0.0315898,
+              0.0498084,
+              0.0993565,
+              0.2335529,
+              0.5825012,
+              1.4945254
+            ],
+            [
+              0.0301803,
+              0.0367444,
+              0.0536797,
+              0.1010093,
+              0.2339897,
+              0.5816121,
+              1.4914813
+            ],
+            [
+              0.0412765,
+              0.0479066,
+              0.0643445,
+              0.1063099,
+              0.2343002,
+              0.5842276,
+              1.4915096
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.2802319,
+              0.2891138,
+              0.3066624,
+              0.3389212,
+              0.3979022,
+              0.5158854,
+              0.7793169
+            ],
+            [
+              0.2831725,
+              0.2920883,
+              0.3095441,
+              0.3417269,
+              0.4012056,
+              0.5184102,
+              0.7829097
+            ],
+            [
+              0.2959579,
+              0.3048103,
+              0.3222937,
+              0.3540215,
+              0.4136922,
+              0.5315384,
+              0.7947448
+            ],
+            [
+              0.3294826,
+              0.3382678,
+              0.3557656,
+              0.3880407,
+              0.4466134,
+              0.5649325,
+              0.8284345
+            ],
+            [
+              0.4038812,
+              0.4127347,
+              0.4300021,
+              0.4622872,
+              0.521677,
+              0.6392914,
+              0.9029044
+            ],
+            [
+              0.567225,
+              0.576278,
+              0.5940086,
+              0.6265079,
+              0.685247,
+              0.8033071,
+              1.0673233
+            ],
+            [
+              0.8926585,
+              0.9030061,
+              0.923719,
+              0.9590043,
+              1.0235673,
+              1.1469274,
+              1.412626
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.0533564,
+              0.0596933,
+              0.0750723,
+              0.1128187,
+              0.208752,
+              0.4569785,
+              1.1018468
+            ],
+            [
+              0.058227,
+              0.0644779,
+              0.0796909,
+              0.1175146,
+              0.2132538,
+              0.4607559,
+              1.1050978
+            ],
+            [
+              0.0695016,
+              0.0756948,
+              0.0907817,
+              0.1285755,
+              0.2245469,
+              0.4720213,
+              1.1156134
+            ],
+            [
+              0.0909814,
+              0.0972141,
+              0.1122678,
+              0.1498365,
+              0.2454538,
+              0.4933978,
+              1.1378964
+            ],
+            [
+              0.1200573,
+              0.1266312,
+              0.1418062,
+              0.1789808,
+              0.2747346,
+              0.5232477,
+              1.1680303
+            ],
+            [
+              0.1494811,
+              0.1569273,
+              0.1736854,
+              0.2113796,
+              0.3071125,
+              0.5543113,
+              1.1990636
+            ],
+            [
+              0.1571336,
+              0.1681513,
+              0.1897384,
+              0.229826,
+              0.324989,
+              0.572638,
+              1.21727
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.0383078,
+              0.043728,
+              0.054921,
+              0.0782341,
+              0.1302426,
+              0.2505417,
+              0.571827
+            ],
+            [
+              0.0383287,
+              0.0437593,
+              0.0552187,
+              0.0782356,
+              0.1292278,
+              0.2501929,
+              0.5693176
+            ],
+            [
+              0.0382986,
+              0.0437062,
+              0.0550079,
+              0.0789926,
+              0.1300279,
+              0.2497094,
+              0.5721807
+            ],
+            [
+              0.0383769,
+              0.0438155,
+              0.0549759,
+              0.0785629,
+              0.1296607,
+              0.2509565,
+              0.5719671
+            ],
+            [
+              0.0383333,
+              0.0437322,
+              0.054877,
+              0.077779,
+              0.1288185,
+              0.2506017,
+              0.5718093
+            ],
+            [
+              0.0399,
+              0.0447887,
+              0.0561785,
+              0.0786073,
+              0.1309089,
+              0.2506541,
+              0.5715045
+            ],
+            [
+              0.0478838,
+              0.0534443,
+              0.0653908,
+              0.090056,
+              0.1402801,
+              0.2594562,
+              0.575408
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.0188973,
+              0.0261969,
+              0.0456976,
+              0.0965736,
+              0.2305419,
+              0.5798393,
+              1.4952792
+            ],
+            [
+              0.0188151,
+              0.0261364,
+              0.0455286,
+              0.0969675,
+              0.2300017,
+              0.5804128,
+              1.4948583
+            ],
+            [
+              0.0186361,
+              0.0259931,
+              0.0454182,
+              0.0968189,
+              0.2308633,
+              0.5821005,
+              1.4989522
+            ],
+            [
+              0.0195277,
+              0.0264798,
+              0.0454892,
+              0.096641,
+              0.2299501,
+              0.580461,
+              1.4947863
+            ],
+            [
+              0.0224738,
+              0.028842,
+              0.0466615,
+              0.0969129,
+              0.2303605,
+              0.5815395,
+              1.4972349
+            ],
+            [
+              0.0292386,
+              0.0357729,
+              0.0512416,
+              0.0982167,
+              0.2317396,
+              0.5787997,
+              1.4961024
+            ],
+            [
+              0.0423369,
+              0.0488691,
+              0.0643872,
+              0.1051615,
+              0.2319964,
+              0.5845051,
+              1.4900255
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.2525802,
+              0.2614711,
+              0.2790053,
+              0.3114137,
+              0.3708988,
+              0.4876707,
+              0.7521551
+            ],
+            [
+              0.2549882,
+              0.2638615,
+              0.2811785,
+              0.3135848,
+              0.3729346,
+              0.4906253,
+              0.7539783
+            ],
+            [
+              0.2664145,
+              0.2753013,
+              0.2927619,
+              0.3250078,
+              0.3835587,
+              0.501786,
+              0.7656838
+            ],
+            [
+              0.2974869,
+              0.3063337,
+              0.3239131,
+              0.356188,
+              0.4154192,
+              0.5325789,
+              0.7966729
+            ],
+            [
+              0.3721841,
+              0.3810831,
+              0.3986235,
+              0.430818,
+              0.4899081,
+              0.6077405,
+              0.8714793
+            ],
+            [
+              0.5418432,
+              0.5509717,
+              0.568888,
+              0.6014968,
+              0.6606021,
+              0.7787193,
+              1.0429475
+            ],
+            [
+              0.8728355,
+              0.8838925,
+              0.9051935,
+              0.9417921,
+              1.0073153,
+              1.1307429,
+              1.3978644
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.0512407,
+              0.0573056,
+              0.0722212,
+              0.1094662,
+              0.2051138,
+              0.4531681,
+              1.096331
+            ],
+            [
+              0.0560512,
+              0.0621139,
+              0.0770028,
+              0.1142159,
+              0.2097375,
+              0.4574707,
+              1.1032467
+            ],
+            [
+              0.067022,
+              0.0729953,
+              0.0877838,
+              0.1250769,
+              0.2205749,
+              0.467833,
+              1.1110267
+            ],
+            [
+              0.0870912,
+              0.0931902,
+              0.107921,
+              0.1450821,
+              0.240597,
+              0.4879974,
+              1.1315497
+            ],
+            [
+              0.1134121,
+              0.1195501,
+              0.1346736,
+              0.1719206,
+              0.2674928,
+              0.5153061,
+              1.1588932
+            ],
+            [
+              0.1378111,
+              0.1461103,
+              0.1628132,
+              0.2000747,
+              0.2954385,
+              0.5427946,
+              1.1897224
+            ],
+            [
+              0.1347596,
+              0.1459293,
+              0.1682474,
+              0.2095716,
+              0.3038647,
+              0.5517287,
+              1.1956276
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.0384325,
+              0.0438334,
+              0.0549551,
+              0.0779437,
+              0.1290563,
+              0.2502662,
+              0.5703767
+            ],
+            [
+              0.0383388,
+              0.0437443,
+              0.0548242,
+              0.0783984,
+              0.1292172,
+              0.2504286,
+              0.5719833
+            ],
+            [
+              0.0383921,
+              0.0433604,
+              0.0544909,
+              0.0785581,
+              0.1297655,
+              0.2512935,
+              0.5720002
+            ],
+            [
+              0.0382881,
+              0.0436418,
+              0.0550334,
+              0.0778602,
+              0.1287748,
+              0.2500754,
+              0.5722271
+            ],
+            [
+              0.0382311,
+              0.0435018,
+              0.0548344,
+              0.07794,
+              0.1286316,
+              0.2509263,
+              0.571364
+            ],
+            [
+              0.0406065,
+              0.045703,
+              0.0570005,
+              0.0793861,
+              0.1313803,
+              0.2517647,
+              0.5695313
+            ],
+            [
+              0.0528225,
+              0.0582514,
+              0.0700563,
+              0.0956533,
+              0.1453832,
+              0.2613829,
+              0.5767299
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.0179503,
+              0.0252004,
+              0.0445026,
+              0.0961813,
+              0.2304329,
+              0.580828,
+              1.4938
+            ],
+            [
+              0.017898,
+              0.0251385,
+              0.0445929,
+              0.0961021,
+              0.2306727,
+              0.5805991,
+              1.4919335
+            ],
+            [
+              0.0179124,
+              0.0251863,
+              0.0445579,
+              0.0958904,
+              0.2299796,
+              0.5814317,
+              1.4995367
+            ],
+            [
+              0.0189094,
+              0.0259478,
+              0.0447689,
+              0.0959467,
+              0.2298596,
+              0.5816748,
+              1.4996527
+            ],
+            [
+              0.0220903,
+              0.0285133,
+              0.046017,
+              0.0966033,
+              0.2294079,
+              0.5806627,
+              1.4988907
+            ],
+            [
+              0.0295883,
+              0.0353787,
+              0.0510293,
+              0.0975517,
+              0.231966,
+              0.5785505,
+              1.4937613
+            ],
+            [
+              0.0441466,
+              0.0509406,
+              0.0652476,
+              0.1054502,
+              0.232064,
+              0.5819295,
+              1.4887589
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.2077607,
+              0.2166987,
+              0.2342447,
+              0.2665593,
+              0.3255388,
+              0.4434582,
+              0.7072889
+            ],
+            [
+              0.2084831,
+              0.2174173,
+              0.2348376,
+              0.2671008,
+              0.3263563,
+              0.4442522,
+              0.7078397
+            ],
+            [
+              0.217247,
+              0.226205,
+              0.2437507,
+              0.2768809,
+              0.3354454,
+              0.4536167,
+              0.7174152
+            ],
+            [
+              0.2437917,
+              0.2526083,
+              0.2698061,
+              0.3019605,
+              0.3613763,
+              0.479186,
+              0.7435914
+            ],
+            [
+              0.313696,
+              0.3226987,
+              0.3404791,
+              0.3726185,
+              0.4320047,
+              0.5501878,
+              0.8140053
+            ],
+            [
+              0.4733494,
+              0.48299,
+              0.5015342,
+              0.5345736,
+              0.5936458,
+              0.7117134,
+              0.9754506
+            ],
+            [
+              0.7576875,
+              0.7701435,
+              0.7941561,
+              0.8353959,
+              0.9067045,
+              1.0292321,
+              1.2956346
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.0464829,
+              0.0522857,
+              0.0666737,
+              0.1032022,
+              0.1972412,
+              0.4434556,
+              1.0873614
+            ],
+            [
+              0.0511539,
+              0.0569355,
+              0.0713826,
+              0.1079312,
+              0.2022007,
+              0.4486983,
+              1.0933204
+            ],
+            [
+              0.0618183,
+              0.0676447,
+              0.0820981,
+              0.1186837,
+              0.2135083,
+              0.4598423,
+              1.1068158
+            ],
+            [
+              0.0798919,
+              0.0858243,
+              0.1004138,
+              0.1370491,
+              0.2321239,
+              0.478707,
+              1.1282965
+            ],
+            [
+              0.1019033,
+              0.1082327,
+              0.1231413,
+              0.1599633,
+              0.255074,
+              0.5026295,
+              1.1508414
+            ],
+            [
+              0.1201593,
+              0.1285219,
+              0.1454795,
+              0.1826281,
+              0.277269,
+              0.524926,
+              1.1710282
+            ],
+            [
+              0.1062452,
+              0.118405,
+              0.1415263,
+              0.1839301,
+              0.2784836,
+              0.5253495,
+              1.1702878
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.0383531,
+              0.0433614,
+              0.0544757,
+              0.0783664,
+              0.1289401,
+              0.2508729,
+              0.5716227
+            ],
+            [
+              0.0382874,
+              0.0437388,
+              0.0550754,
+              0.0788521,
+              0.1302947,
+              0.2503899,
+              0.5717495
+            ],
+            [
+              0.0382098,
+              0.0436076,
+              0.0548189,
+              0.0780851,
+              0.128992,
+              0.250929,
+              0.5712258
+            ],
+            [
+              0.0382314,
+              0.043757,
+              0.0548046,
+              0.0782252,
+              0.1293173,
+              0.2506401,
+              0.5690075
+            ],
+            [
+              0.0379008,
+              0.0433655,
+              0.0544519,
+              0.0784464,
+              0.1299139,
+              0.2494558,
+              0.5726103
+            ],
+            [
+              0.04331,
+              0.048356,
+              0.0590752,
+              0.0809396,
+              0.1315661,
+              0.250561,
+              0.5699407
+            ],
+            [
+              0.0625129,
+              0.0690622,
+              0.0811638,
+              0.1040554,
+              0.150001,
+              0.2625414,
+              0.5787549
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.0174154,
+              0.0247246,
+              0.0442108,
+              0.0960909,
+              0.2304885,
+              0.5823266,
+              1.4959637
+            ],
+            [
+              0.0174393,
+              0.0247205,
+              0.0442222,
+              0.0959517,
+              0.2308383,
+              0.5802318,
+              1.4970827
+            ],
+            [
+              0.0175562,
+              0.0248177,
+              0.0441608,
+              0.095312,
+              0.2296409,
+              0.5837165,
+              1.5071056
+            ],
+            [
+              0.0189012,
+              0.0257643,
+              0.0445731,
+              0.0957278,
+              0.2312976,
+              0.5818928,
+              1.4983776
+            ],
+            [
+              0.0227166,
+              0.0290282,
+              0.0460713,
+              0.0961375,
+              0.2294644,
+              0.5830847,
+              1.5031821
+            ],
+            [
+              0.0309479,
+              0.0368061,
+              0.0524333,
+              0.0976112,
+              0.2314674,
+              0.5767085,
+              1.4913355
+            ],
+            [
+              0.0468357,
+              0.0540577,
+              0.0687594,
+              0.1083561,
+              0.2322735,
+              0.5854096,
+              1.4871355
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      }
+    ]
+  }
+}
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_n40C_1v65.lib.json b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_n40C_1v65.lib.json
new file mode 100644
index 0000000..ce87b31
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_n40C_1v65.lib.json
@@ -0,0 +1,3016 @@
+{
+  "area": 11.2608,
+  "cell_footprint": "a2111o",
+  "cell_leakage_power": 0.0004970863,
+  "driver_waveform_fall": "ramp",
+  "driver_waveform_rise": "ramp",
+  "leakage_power": [
+    {
+      "value": 5.1905346e-05,
+      "when": "A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0007833,
+      "when": "A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 6.078963e-05,
+      "when": "A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0007846,
+      "when": "!A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.000859,
+      "when": "!A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0007833,
+      "when": "!A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 6.0923001e-05,
+      "when": "!A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0007834,
+      "when": "!A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 5.2194777e-05,
+      "when": "!A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0007833,
+      "when": "!A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 6.0791751e-05,
+      "when": "!A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0007846,
+      "when": "!A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0008754,
+      "when": "!A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0007833,
+      "when": "!A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 6.0923001e-05,
+      "when": "!A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0007834,
+      "when": "!A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 5.2194777e-05,
+      "when": "!A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0007833,
+      "when": "!A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 6.0791751e-05,
+      "when": "!A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0007846,
+      "when": "A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0008711,
+      "when": "A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0007833,
+      "when": "A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 6.0923001e-05,
+      "when": "A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0007834,
+      "when": "A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 5.2194777e-05,
+      "when": "A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0007833,
+      "when": "A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 6.0791751e-05,
+      "when": "A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0007838,
+      "when": "A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 6.9042028e-05,
+      "when": "A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0007833,
+      "when": "A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 6.0663964e-05,
+      "when": "A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0007834,
+      "when": "A1&A2&B1&!C1&D1"
+    }
+  ],
+  "pg_pin VGND": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VGND"
+  },
+  "pg_pin VNB": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VNB"
+  },
+  "pg_pin VPB": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPB"
+  },
+  "pg_pin VPWR": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPWR"
+  },
+  "pin A1": {
+    "capacitance": 0.0023,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002194,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0036105,
+          0.0036075,
+          0.0036005,
+          0.0036012,
+          0.0036027,
+          0.0036061,
+          0.003614
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0028975,
+          -0.0029009,
+          -0.0029087,
+          -0.0029037,
+          -0.002892,
+          -0.0028651,
+          -0.0028031
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002405
+  },
+  "pin A2": {
+    "capacitance": 0.002167,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002066,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0030112,
+          0.0030097,
+          0.0030062,
+          0.0030043,
+          0.0030001,
+          0.0029903,
+          0.0029677
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0029957,
+          -0.0030004,
+          -0.0030112,
+          -0.0030111,
+          -0.0030109,
+          -0.0030103,
+          -0.0030091
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002269
+  },
+  "pin B1": {
+    "capacitance": 0.002322,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002189,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0031133,
+          0.0031177,
+          0.003128,
+          0.0031284,
+          0.0031294,
+          0.0031315,
+          0.0031364
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0027035,
+          -0.0027325,
+          -0.0027993,
+          -0.0028098,
+          -0.0028342,
+          -0.0028902,
+          -0.0030195
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002455
+  },
+  "pin C1": {
+    "capacitance": 0.002281,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002134,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0029199,
+          0.0029174,
+          0.0029118,
+          0.0029126,
+          0.0029143,
+          0.0029182,
+          0.0029273
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0026643,
+          -0.0027064,
+          -0.0028035,
+          -0.0028064,
+          -0.0028129,
+          -0.002828,
+          -0.0028627
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002429
+  },
+  "pin D1": {
+    "capacitance": 0.002272,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002073,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0020417,
+          0.0020396,
+          0.0020347,
+          0.0020401,
+          0.0020525,
+          0.0020812,
+          0.0021474
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0014295,
+          -0.0014297,
+          -0.0014302,
+          -0.0014319,
+          -0.0014359,
+          -0.001445,
+          -0.0014661
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.00247
+  },
+  "pin X": {
+    "direction": "output",
+    "function": "(A1&A2) | (B1) | (C1) | (D1)",
+    "internal_power": [
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001330632,
+            0.003541162,
+            0.009423965,
+            0.02507965,
+            0.06674357,
+            0.1776222
+          ],
+          "values": [
+            [
+              0.0107575,
+              0.0099968,
+              0.0078723,
+              0.0013995,
+              -0.0181517,
+              -0.0739561,
+              -0.2246837
+            ],
+            [
+              0.0105984,
+              0.0097931,
+              0.0076391,
+              0.0012135,
+              -0.0182891,
+              -0.0741159,
+              -0.2248605
+            ],
+            [
+              0.0103902,
+              0.0095916,
+              0.0074697,
+              0.0009084,
+              -0.0185264,
+              -0.0743233,
+              -0.2250988
+            ],
+            [
+              0.0102636,
+              0.009457,
+              0.0072738,
+              0.0008159,
+              -0.0187675,
+              -0.074488,
+              -0.2252614
+            ],
+            [
+              0.0101066,
+              0.0093672,
+              0.0071897,
+              0.0006337,
+              -0.0188947,
+              -0.0746106,
+              -0.2253822
+            ],
+            [
+              0.0100725,
+              0.0092488,
+              0.0070873,
+              0.0006608,
+              -0.018949,
+              -0.0746649,
+              -0.2254156
+            ],
+            [
+              0.0137805,
+              0.0126272,
+              0.0097088,
+              0.0017837,
+              -0.0194591,
+              -0.0747607,
+              -0.2254805
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001330632,
+            0.003541162,
+            0.009423965,
+            0.02507965,
+            0.06674357,
+            0.1776222
+          ],
+          "values": [
+            [
+              0.0115874,
+              0.0129922,
+              0.0164855,
+              0.0250134,
+              0.0468269,
+              0.1030558,
+              0.2538324
+            ],
+            [
+              0.0115264,
+              0.0129356,
+              0.0164049,
+              0.0249406,
+              0.0467151,
+              0.1028693,
+              0.2534811
+            ],
+            [
+              0.0114948,
+              0.0128714,
+              0.0163324,
+              0.0248219,
+              0.0465926,
+              0.1028322,
+              0.2534116
+            ],
+            [
+              0.011508,
+              0.012859,
+              0.0161984,
+              0.0246421,
+              0.0463932,
+              0.1031451,
+              0.2532203
+            ],
+            [
+              0.011807,
+              0.012965,
+              0.016068,
+              0.024392,
+              0.045944,
+              0.102911,
+              0.2530546
+            ],
+            [
+              0.0122605,
+              0.0133946,
+              0.0163892,
+              0.024414,
+              0.0457864,
+              0.1022836,
+              0.2515753
+            ],
+            [
+              0.0127356,
+              0.0138506,
+              0.017033,
+              0.0249683,
+              0.046283,
+              0.1027195,
+              0.2510388
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001330632,
+            0.003541162,
+            0.009423965,
+            0.02507965,
+            0.06674357,
+            0.1776222
+          ],
+          "values": [
+            [
+              0.0131685,
+              0.0124285,
+              0.0101756,
+              0.0037069,
+              -0.0157908,
+              -0.0714093,
+              -0.2221069
+            ],
+            [
+              0.0130531,
+              0.0123079,
+              0.0100401,
+              0.0036014,
+              -0.0158047,
+              -0.0715295,
+              -0.2222071
+            ],
+            [
+              0.0129586,
+              0.0122201,
+              0.009937,
+              0.0035362,
+              -0.0159329,
+              -0.0716275,
+              -0.2223277
+            ],
+            [
+              0.0128198,
+              0.0119526,
+              0.0098097,
+              0.0033853,
+              -0.0160799,
+              -0.0717303,
+              -0.2224298
+            ],
+            [
+              0.0127011,
+              0.0119806,
+              0.0097454,
+              0.0032871,
+              -0.0161308,
+              -0.0718349,
+              -0.2225379
+            ],
+            [
+              0.0127275,
+              0.0119196,
+              0.0097334,
+              0.0032448,
+              -0.0161823,
+              -0.0718645,
+              -0.2225438
+            ],
+            [
+              0.0166648,
+              0.0154916,
+              0.012472,
+              0.0044866,
+              -0.0166396,
+              -0.0719411,
+              -0.2225718
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001330632,
+            0.003541162,
+            0.009423965,
+            0.02507965,
+            0.06674357,
+            0.1776222
+          ],
+          "values": [
+            [
+              0.0116327,
+              0.0130459,
+              0.0164936,
+              0.0250725,
+              0.0466499,
+              0.1031363,
+              0.2538302
+            ],
+            [
+              0.0115802,
+              0.0129739,
+              0.0164699,
+              0.0249718,
+              0.0467917,
+              0.1031179,
+              0.2537532
+            ],
+            [
+              0.0115143,
+              0.0129156,
+              0.0163821,
+              0.0249072,
+              0.0465433,
+              0.1033242,
+              0.2526332
+            ],
+            [
+              0.0115422,
+              0.0128832,
+              0.0162928,
+              0.0247455,
+              0.0463377,
+              0.1025889,
+              0.2524308
+            ],
+            [
+              0.0118091,
+              0.0129467,
+              0.0161589,
+              0.02454,
+              0.0460859,
+              0.1029897,
+              0.2523995
+            ],
+            [
+              0.0124017,
+              0.0135434,
+              0.0165864,
+              0.0246661,
+              0.0461129,
+              0.1022467,
+              0.2532566
+            ],
+            [
+              0.0128066,
+              0.0139676,
+              0.016975,
+              0.0250626,
+              0.0465633,
+              0.1029064,
+              0.2527824
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001330632,
+            0.003541162,
+            0.009423965,
+            0.02507965,
+            0.06674357,
+            0.1776222
+          ],
+          "values": [
+            [
+              0.0094805,
+              0.0087358,
+              0.0066901,
+              0.0002383,
+              -0.0194086,
+              -0.0754382,
+              -0.2262398
+            ],
+            [
+              0.0094008,
+              0.0086308,
+              0.0064839,
+              -2.64e-05,
+              -0.0196462,
+              -0.075629,
+              -0.2263633
+            ],
+            [
+              0.0091862,
+              0.0084223,
+              0.0063276,
+              -0.000116,
+              -0.0197469,
+              -0.0757724,
+              -0.2265827
+            ],
+            [
+              0.0091257,
+              0.0083907,
+              0.0062714,
+              -0.0002343,
+              -0.0199125,
+              -0.0758788,
+              -0.2266737
+            ],
+            [
+              0.0090099,
+              0.0082836,
+              0.00616,
+              -0.000305,
+              -0.019952,
+              -0.0759569,
+              -0.2267433
+            ],
+            [
+              0.0089302,
+              0.0082164,
+              0.0060888,
+              -0.0003957,
+              -0.0201139,
+              -0.0760304,
+              -0.2267916
+            ],
+            [
+              0.0130669,
+              0.0117416,
+              0.0088459,
+              0.0008813,
+              -0.0203131,
+              -0.0761402,
+              -0.2268848
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001330632,
+            0.003541162,
+            0.009423965,
+            0.02507965,
+            0.06674357,
+            0.1776222
+          ],
+          "values": [
+            [
+              0.0103044,
+              0.011595,
+              0.0148257,
+              0.023053,
+              0.0443991,
+              0.1010637,
+              0.2511689
+            ],
+            [
+              0.0102841,
+              0.0115651,
+              0.014808,
+              0.0230013,
+              0.0444749,
+              0.1005873,
+              0.250262
+            ],
+            [
+              0.0102779,
+              0.0115481,
+              0.0147571,
+              0.0229745,
+              0.0442799,
+              0.10102,
+              0.2511858
+            ],
+            [
+              0.0102064,
+              0.0114,
+              0.014571,
+              0.0227541,
+              0.0441278,
+              0.1005126,
+              0.2510446
+            ],
+            [
+              0.0103692,
+              0.0115306,
+              0.0145195,
+              0.0226319,
+              0.0437354,
+              0.1007192,
+              0.2508916
+            ],
+            [
+              0.0104404,
+              0.0115495,
+              0.0146772,
+              0.0226321,
+              0.0437983,
+              0.0997352,
+              0.2506686
+            ],
+            [
+              0.0109093,
+              0.0121038,
+              0.0150618,
+              0.0230852,
+              0.0444141,
+              0.1006361,
+              0.2500371
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001330632,
+            0.003541162,
+            0.009423965,
+            0.02507965,
+            0.06674357,
+            0.1776222
+          ],
+          "values": [
+            [
+              0.0080187,
+              0.007237,
+              0.0051516,
+              -0.0013513,
+              -0.0209522,
+              -0.0769778,
+              -0.2277848
+            ],
+            [
+              0.0077837,
+              0.0071486,
+              0.0048907,
+              -0.0015352,
+              -0.0211202,
+              -0.0771563,
+              -0.2279507
+            ],
+            [
+              0.0076731,
+              0.0069495,
+              0.0048034,
+              -0.0017008,
+              -0.0213213,
+              -0.0772903,
+              -0.228099
+            ],
+            [
+              0.0075816,
+              0.0068269,
+              0.0047105,
+              -0.0017399,
+              -0.0213999,
+              -0.0773711,
+              -0.2282101
+            ],
+            [
+              0.0075268,
+              0.006752,
+              0.0045989,
+              -0.0018408,
+              -0.0215342,
+              -0.0774911,
+              -0.2282691
+            ],
+            [
+              0.0072617,
+              0.0065043,
+              0.0044476,
+              -0.0021069,
+              -0.0215162,
+              -0.077517,
+              -0.2283228
+            ],
+            [
+              0.0115211,
+              0.0103359,
+              0.0073039,
+              -0.0006592,
+              -0.0218473,
+              -0.0776371,
+              -0.2283684
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001330632,
+            0.003541162,
+            0.009423965,
+            0.02507965,
+            0.06674357,
+            0.1776222
+          ],
+          "values": [
+            [
+              0.0096189,
+              0.0108481,
+              0.0140052,
+              0.0221474,
+              0.043401,
+              0.0994436,
+              0.2498665
+            ],
+            [
+              0.0096481,
+              0.0108752,
+              0.014024,
+              0.022173,
+              0.0433814,
+              0.10009,
+              0.2502391
+            ],
+            [
+              0.0096614,
+              0.0108676,
+              0.0140248,
+              0.0222245,
+              0.0434238,
+              0.0997738,
+              0.2492467
+            ],
+            [
+              0.0095462,
+              0.0107396,
+              0.0137826,
+              0.0218868,
+              0.043419,
+              0.0999795,
+              0.2490575
+            ],
+            [
+              0.0095344,
+              0.0107158,
+              0.0137186,
+              0.0217039,
+              0.0430581,
+              0.0992478,
+              0.2486409
+            ],
+            [
+              0.0094976,
+              0.0106352,
+              0.0136231,
+              0.0216481,
+              0.0429375,
+              0.0986866,
+              0.2494949
+            ],
+            [
+              0.0097789,
+              0.0109002,
+              0.0139516,
+              0.022025,
+              0.0433655,
+              0.0996898,
+              0.2488248
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001330632,
+            0.003541162,
+            0.009423965,
+            0.02507965,
+            0.06674357,
+            0.1776222
+          ],
+          "values": [
+            [
+              0.0073955,
+              0.0065948,
+              0.0044413,
+              -0.0019997,
+              -0.0215802,
+              -0.0775647,
+              -0.2283854
+            ],
+            [
+              0.0071972,
+              0.0064258,
+              0.004301,
+              -0.0021751,
+              -0.0218126,
+              -0.0777864,
+              -0.2286149
+            ],
+            [
+              0.00703,
+              0.0062494,
+              0.0041518,
+              -0.0023167,
+              -0.021984,
+              -0.077958,
+              -0.2287289
+            ],
+            [
+              0.0068822,
+              0.0061267,
+              0.0039813,
+              -0.0025104,
+              -0.0221028,
+              -0.0781207,
+              -0.2289176
+            ],
+            [
+              0.0069645,
+              0.0061322,
+              0.0039712,
+              -0.0025221,
+              -0.0221978,
+              -0.0781957,
+              -0.2289515
+            ],
+            [
+              0.0074576,
+              0.0062953,
+              0.0038463,
+              -0.0025829,
+              -0.022144,
+              -0.078104,
+              -0.2288577
+            ],
+            [
+              0.0119736,
+              0.0107605,
+              0.0077056,
+              -0.0003095,
+              -0.0214073,
+              -0.0776304,
+              -0.2283276
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001330632,
+            0.003541162,
+            0.009423965,
+            0.02507965,
+            0.06674357,
+            0.1776222
+          ],
+          "values": [
+            [
+              0.0072875,
+              0.0084437,
+              0.0114652,
+              0.0194534,
+              0.0405207,
+              0.0964192,
+              0.2455421
+            ],
+            [
+              0.0072464,
+              0.0084078,
+              0.0114241,
+              0.0193578,
+              0.0405588,
+              0.0965094,
+              0.2459205
+            ],
+            [
+              0.0071191,
+              0.0082877,
+              0.0112925,
+              0.0192994,
+              0.0404221,
+              0.0964817,
+              0.2453348
+            ],
+            [
+              0.0069421,
+              0.0080936,
+              0.0111151,
+              0.0190415,
+              0.0402272,
+              0.0963207,
+              0.2469394
+            ],
+            [
+              0.006887,
+              0.0080596,
+              0.0110694,
+              0.0189315,
+              0.0399065,
+              0.0962699,
+              0.246013
+            ],
+            [
+              0.0068799,
+              0.0079954,
+              0.0111391,
+              0.0190935,
+              0.0400993,
+              0.0957478,
+              0.2456823
+            ],
+            [
+              0.0074771,
+              0.0085173,
+              0.0115898,
+              0.0196316,
+              0.0407127,
+              0.0967112,
+              0.2465381
+            ]
+          ]
+        }
+      }
+    ],
+    "max_capacitance": 0.177622,
+    "max_transition": 1.510239,
+    "power_down_function": "(!VPWR + VGND)",
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "timing": [
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.2938701,
+              0.302575,
+              0.3199605,
+              0.352828,
+              0.4151101,
+              0.5399952,
+              0.8252479
+            ],
+            [
+              0.2970534,
+              0.3057387,
+              0.3233512,
+              0.3560741,
+              0.4180156,
+              0.54336,
+              0.828618
+            ],
+            [
+              0.3085333,
+              0.3171356,
+              0.3346412,
+              0.3671246,
+              0.4294291,
+              0.5546238,
+              0.8393386
+            ],
+            [
+              0.3400217,
+              0.3486618,
+              0.3662851,
+              0.3996576,
+              0.4607059,
+              0.5861991,
+              0.8712452
+            ],
+            [
+              0.4100188,
+              0.418653,
+              0.4362032,
+              0.4692704,
+              0.5307492,
+              0.6562712,
+              0.9412402
+            ],
+            [
+              0.5542865,
+              0.5629099,
+              0.5807465,
+              0.6134906,
+              0.6760155,
+              0.8011577,
+              1.086098
+            ],
+            [
+              0.8253072,
+              0.8350314,
+              0.8543213,
+              0.8907072,
+              0.9570101,
+              1.0871226,
+              1.3761303
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.0639487,
+              0.0707114,
+              0.0865663,
+              0.1246108,
+              0.2200813,
+              0.4678494,
+              1.1236437
+            ],
+            [
+              0.068085,
+              0.0748192,
+              0.0905996,
+              0.1285887,
+              0.2242199,
+              0.4715456,
+              1.1274988
+            ],
+            [
+              0.0781699,
+              0.0848581,
+              0.1004658,
+              0.1382347,
+              0.2338001,
+              0.4811228,
+              1.1372085
+            ],
+            [
+              0.0998992,
+              0.1065096,
+              0.1219161,
+              0.1589916,
+              0.2543356,
+              0.5011379,
+              1.1576282
+            ],
+            [
+              0.1290769,
+              0.136033,
+              0.1517333,
+              0.1886703,
+              0.2835585,
+              0.5312061,
+              1.1872222
+            ],
+            [
+              0.1573613,
+              0.1660612,
+              0.1824705,
+              0.2200921,
+              0.3143998,
+              0.5619181,
+              1.2184931
+            ],
+            [
+              0.153144,
+              0.1640616,
+              0.1857446,
+              0.2269109,
+              0.3199811,
+              0.5666187,
+              1.2217583
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.0365351,
+              0.0420126,
+              0.0538349,
+              0.0785483,
+              0.1327988,
+              0.2591999,
+              0.6075847
+            ],
+            [
+              0.0364492,
+              0.042073,
+              0.0537759,
+              0.078844,
+              0.1329842,
+              0.2597269,
+              0.6030865
+            ],
+            [
+              0.0364853,
+              0.0420349,
+              0.0536382,
+              0.0780874,
+              0.132685,
+              0.2596826,
+              0.6034908
+            ],
+            [
+              0.0363647,
+              0.0417626,
+              0.0535657,
+              0.0779454,
+              0.1317417,
+              0.2608707,
+              0.6041834
+            ],
+            [
+              0.0363191,
+              0.0419797,
+              0.0535844,
+              0.0776514,
+              0.1317124,
+              0.2603971,
+              0.6039059
+            ],
+            [
+              0.0377039,
+              0.0429275,
+              0.0546028,
+              0.0796145,
+              0.1320806,
+              0.2601213,
+              0.6080565
+            ],
+            [
+              0.0434493,
+              0.0493011,
+              0.0620858,
+              0.0870538,
+              0.1429952,
+              0.2705442,
+              0.611329
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.0205795,
+              0.0275053,
+              0.0457029,
+              0.0943791,
+              0.2247989,
+              0.5707478,
+              1.4967658
+            ],
+            [
+              0.0205364,
+              0.0274139,
+              0.0456307,
+              0.0943932,
+              0.2245401,
+              0.5716166,
+              1.5010952
+            ],
+            [
+              0.0202967,
+              0.0272008,
+              0.0453547,
+              0.0942528,
+              0.2243894,
+              0.5712437,
+              1.4995926
+            ],
+            [
+              0.0207932,
+              0.0274218,
+              0.0453128,
+              0.093535,
+              0.2245744,
+              0.5720822,
+              1.5016614
+            ],
+            [
+              0.023789,
+              0.0297544,
+              0.0464702,
+              0.0939114,
+              0.2235119,
+              0.5722191,
+              1.5019872
+            ],
+            [
+              0.0303386,
+              0.0363649,
+              0.0516368,
+              0.0953658,
+              0.2246637,
+              0.5708476,
+              1.5027951
+            ],
+            [
+              0.0422169,
+              0.048993,
+              0.0636135,
+              0.1023957,
+              0.2250119,
+              0.5755435,
+              1.4962466
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.332508,
+              0.3420324,
+              0.3609328,
+              0.3955718,
+              0.4593015,
+              0.5863525,
+              0.8728992
+            ],
+            [
+              0.3371064,
+              0.3466433,
+              0.3655803,
+              0.4002904,
+              0.4641131,
+              0.5902775,
+              0.8767269
+            ],
+            [
+              0.3499381,
+              0.3593924,
+              0.3783623,
+              0.4128127,
+              0.4765112,
+              0.6036138,
+              0.8902682
+            ],
+            [
+              0.3805226,
+              0.390033,
+              0.4089489,
+              0.4431126,
+              0.506919,
+              0.6340543,
+              0.9205206
+            ],
+            [
+              0.4452978,
+              0.454803,
+              0.4737037,
+              0.508379,
+              0.5719948,
+              0.6983848,
+              0.9850565
+            ],
+            [
+              0.5781495,
+              0.5877254,
+              0.6067788,
+              0.6415162,
+              0.7054003,
+              0.8325989,
+              1.119142
+            ],
+            [
+              0.8277365,
+              0.8383166,
+              0.85904,
+              0.8963363,
+              0.9635914,
+              1.0945072,
+              1.3838167
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.0673789,
+              0.0741614,
+              0.0899009,
+              0.1280119,
+              0.2232997,
+              0.4713209,
+              1.1260276
+            ],
+            [
+              0.0718541,
+              0.0785911,
+              0.0943936,
+              0.1322887,
+              0.2277991,
+              0.4755512,
+              1.1312372
+            ],
+            [
+              0.0820633,
+              0.0887505,
+              0.1044372,
+              0.1422927,
+              0.2377354,
+              0.4855345,
+              1.1385738
+            ],
+            [
+              0.1038,
+              0.1103825,
+              0.1258095,
+              0.1631467,
+              0.2581567,
+              0.5063449,
+              1.1590052
+            ],
+            [
+              0.1374127,
+              0.144396,
+              0.1602685,
+              0.1975118,
+              0.2921257,
+              0.5404389,
+              1.1944175
+            ],
+            [
+              0.1766483,
+              0.1851248,
+              0.2023599,
+              0.2400966,
+              0.3346661,
+              0.5816899,
+              1.2386028
+            ],
+            [
+              0.1979182,
+              0.2088694,
+              0.2306051,
+              0.2715722,
+              0.3657394,
+              0.6131299,
+              1.2665481
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.0412893,
+              0.0471038,
+              0.0583065,
+              0.0824847,
+              0.1351501,
+              0.2636125,
+              0.6099893
+            ],
+            [
+              0.0412281,
+              0.0471047,
+              0.0580238,
+              0.0825101,
+              0.1363022,
+              0.2645705,
+              0.6080808
+            ],
+            [
+              0.0413987,
+              0.0471146,
+              0.0580762,
+              0.082983,
+              0.1361658,
+              0.264311,
+              0.6059994
+            ],
+            [
+              0.0413914,
+              0.0466276,
+              0.0582151,
+              0.0827369,
+              0.1366119,
+              0.2648189,
+              0.6086887
+            ],
+            [
+              0.0411373,
+              0.0469772,
+              0.0580543,
+              0.0823982,
+              0.1362881,
+              0.2645136,
+              0.6062056
+            ],
+            [
+              0.042369,
+              0.0477814,
+              0.0591003,
+              0.0824879,
+              0.1358999,
+              0.2637816,
+              0.6099344
+            ],
+            [
+              0.0473731,
+              0.053461,
+              0.0658148,
+              0.0895273,
+              0.1434693,
+              0.2720747,
+              0.6137628
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.0206069,
+              0.0274525,
+              0.0456435,
+              0.0944951,
+              0.2246525,
+              0.5729398,
+              1.5008506
+            ],
+            [
+              0.0204672,
+              0.0273513,
+              0.045641,
+              0.0944512,
+              0.2247254,
+              0.5713265,
+              1.4980082
+            ],
+            [
+              0.0203723,
+              0.0272057,
+              0.0453321,
+              0.0943264,
+              0.2246488,
+              0.5719467,
+              1.4972018
+            ],
+            [
+              0.020598,
+              0.0272799,
+              0.0450441,
+              0.0935412,
+              0.2238559,
+              0.5726556,
+              1.4962059
+            ],
+            [
+              0.0236307,
+              0.0297322,
+              0.0463905,
+              0.0936534,
+              0.2239798,
+              0.5714513,
+              1.5029196
+            ],
+            [
+              0.0296209,
+              0.035733,
+              0.0507836,
+              0.0951666,
+              0.2246692,
+              0.5704319,
+              1.4992159
+            ],
+            [
+              0.0408683,
+              0.0471462,
+              0.0621007,
+              0.101696,
+              0.2252152,
+              0.5740374,
+              1.4966601
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.2338134,
+              0.2419845,
+              0.2582116,
+              0.2892712,
+              0.3484109,
+              0.4687908,
+              0.7507969
+            ],
+            [
+              0.2369557,
+              0.2450998,
+              0.2615697,
+              0.2927717,
+              0.3510111,
+              0.4716367,
+              0.7542246
+            ],
+            [
+              0.2495344,
+              0.2576764,
+              0.2741558,
+              0.3048948,
+              0.3639326,
+              0.4844514,
+              0.7658444
+            ],
+            [
+              0.2805171,
+              0.2885888,
+              0.3050522,
+              0.3362217,
+              0.3950572,
+              0.5152019,
+              0.7970091
+            ],
+            [
+              0.3490192,
+              0.3570901,
+              0.3735293,
+              0.40437,
+              0.4634975,
+              0.5841552,
+              0.8654513
+            ],
+            [
+              0.49421,
+              0.5027254,
+              0.5199386,
+              0.5520808,
+              0.6115174,
+              0.7330426,
+              1.0151966
+            ],
+            [
+              0.7716095,
+              0.7811198,
+              0.801078,
+              0.8375221,
+              0.902648,
+              1.0306088,
+              1.3158642
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.0494136,
+              0.0552487,
+              0.0696067,
+              0.1058192,
+              0.1990399,
+              0.4455439,
+              1.1008428
+            ],
+            [
+              0.0538284,
+              0.0596694,
+              0.074036,
+              0.110134,
+              0.2036871,
+              0.4496308,
+              1.1016978
+            ],
+            [
+              0.0643482,
+              0.070077,
+              0.0842827,
+              0.1201793,
+              0.2134386,
+              0.4603803,
+              1.1156206
+            ],
+            [
+              0.0831483,
+              0.0888509,
+              0.103035,
+              0.1387852,
+              0.232123,
+              0.4779649,
+              1.1317588
+            ],
+            [
+              0.1046665,
+              0.1109367,
+              0.1253604,
+              0.1609791,
+              0.2540359,
+              0.5013047,
+              1.1565757
+            ],
+            [
+              0.1182717,
+              0.1261261,
+              0.1424325,
+              0.1783817,
+              0.2710185,
+              0.5171527,
+              1.1727533
+            ],
+            [
+              0.0905667,
+              0.1011357,
+              0.1223747,
+              0.162188,
+              0.2550634,
+              0.5014793,
+              1.1561505
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.0330136,
+              0.0384564,
+              0.049578,
+              0.0734829,
+              0.1253373,
+              0.2518367,
+              0.599449
+            ],
+            [
+              0.0331814,
+              0.0384295,
+              0.0494521,
+              0.0724611,
+              0.1247023,
+              0.252615,
+              0.5997514
+            ],
+            [
+              0.0331821,
+              0.0384735,
+              0.0493974,
+              0.0736067,
+              0.1252624,
+              0.2518596,
+              0.6006889
+            ],
+            [
+              0.03318,
+              0.0384288,
+              0.0494319,
+              0.072522,
+              0.1252686,
+              0.2525284,
+              0.5983279
+            ],
+            [
+              0.0330637,
+              0.0384451,
+              0.0496264,
+              0.0732902,
+              0.1252601,
+              0.252222,
+              0.6031924
+            ],
+            [
+              0.0362795,
+              0.0415762,
+              0.0525797,
+              0.0754096,
+              0.1267216,
+              0.2534233,
+              0.603184
+            ],
+            [
+              0.0442494,
+              0.0499779,
+              0.0622872,
+              0.0874763,
+              0.1396431,
+              0.2648644,
+              0.6060052
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.017098,
+              0.0238068,
+              0.0419235,
+              0.0910411,
+              0.2213942,
+              0.5708131,
+              1.5003491
+            ],
+            [
+              0.0170715,
+              0.023745,
+              0.0419635,
+              0.0909349,
+              0.2214677,
+              0.5715085,
+              1.5003144
+            ],
+            [
+              0.0169474,
+              0.0236235,
+              0.041782,
+              0.0908794,
+              0.2212249,
+              0.5708697,
+              1.5001501
+            ],
+            [
+              0.0180145,
+              0.0244063,
+              0.0419603,
+              0.0906287,
+              0.2219991,
+              0.5696502,
+              1.4962935
+            ],
+            [
+              0.0213638,
+              0.0269886,
+              0.0432382,
+              0.0912537,
+              0.2209168,
+              0.5709624,
+              1.4991813
+            ],
+            [
+              0.0287767,
+              0.034091,
+              0.0484852,
+              0.0924636,
+              0.2223502,
+              0.5686401,
+              1.4996666
+            ],
+            [
+              0.0406081,
+              0.0474008,
+              0.0614725,
+              0.1001125,
+              0.2233801,
+              0.5729703,
+              1.4952601
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.2097823,
+              0.217866,
+              0.234349,
+              0.2656282,
+              0.3243726,
+              0.4445929,
+              0.7265629
+            ],
+            [
+              0.2124513,
+              0.2205042,
+              0.2370839,
+              0.2682617,
+              0.3272039,
+              0.447109,
+              0.7289347
+            ],
+            [
+              0.223632,
+              0.2316542,
+              0.2481196,
+              0.2793955,
+              0.337515,
+              0.458428,
+              0.7399009
+            ],
+            [
+              0.2534105,
+              0.2615056,
+              0.277971,
+              0.3091152,
+              0.3675525,
+              0.4882122,
+              0.769543
+            ],
+            [
+              0.3234925,
+              0.3315542,
+              0.3481335,
+              0.3790886,
+              0.438139,
+              0.5588396,
+              0.8401764
+            ],
+            [
+              0.4749683,
+              0.4836242,
+              0.5011345,
+              0.5337468,
+              0.5939903,
+              0.7156254,
+              0.9973842
+            ],
+            [
+              0.7540545,
+              0.7646162,
+              0.7857319,
+              0.8238976,
+              0.8915063,
+              1.0165011,
+              1.3021773
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.0473526,
+              0.0529422,
+              0.0669198,
+              0.1025364,
+              0.1955326,
+              0.4415822,
+              1.0960666
+            ],
+            [
+              0.051756,
+              0.057357,
+              0.0713136,
+              0.1068721,
+              0.2000217,
+              0.4465205,
+              1.0983821
+            ],
+            [
+              0.0619227,
+              0.0674483,
+              0.0812704,
+              0.1169734,
+              0.2099412,
+              0.4557768,
+              1.1082508
+            ],
+            [
+              0.0789604,
+              0.0846115,
+              0.0983758,
+              0.1339435,
+              0.2269508,
+              0.4730847,
+              1.1265306
+            ],
+            [
+              0.0974481,
+              0.1037399,
+              0.1178866,
+              0.1530851,
+              0.2461295,
+              0.4926519,
+              1.1461092
+            ],
+            [
+              0.1056225,
+              0.1137894,
+              0.1297136,
+              0.1656356,
+              0.2585576,
+              0.5042223,
+              1.1597153
+            ],
+            [
+              0.0682197,
+              0.0790391,
+              0.1007769,
+              0.1414271,
+              0.2339013,
+              0.4802602,
+              1.1330734
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.0332016,
+              0.0386451,
+              0.0498051,
+              0.0725695,
+              0.1255214,
+              0.2514811,
+              0.5989971
+            ],
+            [
+              0.0330362,
+              0.0386755,
+              0.0491621,
+              0.0723614,
+              0.1253517,
+              0.2512538,
+              0.5984149
+            ],
+            [
+              0.0331035,
+              0.0382502,
+              0.04944,
+              0.0726675,
+              0.124676,
+              0.2514262,
+              0.5984891
+            ],
+            [
+              0.0332202,
+              0.0384092,
+              0.0495855,
+              0.0730148,
+              0.1257548,
+              0.2526438,
+              0.598489
+            ],
+            [
+              0.0333456,
+              0.0385468,
+              0.0497378,
+              0.0725473,
+              0.124573,
+              0.2519863,
+              0.5992565
+            ],
+            [
+              0.0373102,
+              0.0427015,
+              0.0534974,
+              0.0765457,
+              0.1289654,
+              0.2542067,
+              0.5996087
+            ],
+            [
+              0.0503357,
+              0.0560333,
+              0.0682603,
+              0.0928265,
+              0.1447272,
+              0.2680057,
+              0.6076582
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.0161832,
+              0.0228097,
+              0.0409434,
+              0.0902159,
+              0.2217189,
+              0.5715028,
+              1.5008327
+            ],
+            [
+              0.0162134,
+              0.0228306,
+              0.0408216,
+              0.0899077,
+              0.2216789,
+              0.5695724,
+              1.5015084
+            ],
+            [
+              0.0162431,
+              0.0228383,
+              0.040867,
+              0.0900647,
+              0.2210483,
+              0.571232,
+              1.5034252
+            ],
+            [
+              0.0175458,
+              0.0237824,
+              0.0412691,
+              0.0901676,
+              0.2215416,
+              0.5701278,
+              1.4984566
+            ],
+            [
+              0.0213129,
+              0.0267865,
+              0.0428129,
+              0.0907163,
+              0.2207175,
+              0.5716262,
+              1.5029569
+            ],
+            [
+              0.0288561,
+              0.034293,
+              0.0486741,
+              0.0921573,
+              0.2228116,
+              0.5674713,
+              1.4995146
+            ],
+            [
+              0.0415248,
+              0.0488463,
+              0.0630262,
+              0.1007565,
+              0.2232211,
+              0.5735552,
+              1.4899907
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.1704973,
+              0.1786023,
+              0.1951351,
+              0.2263567,
+              0.2850552,
+              0.405677,
+              0.6870821
+            ],
+            [
+              0.1715977,
+              0.1796706,
+              0.196285,
+              0.2274081,
+              0.2861016,
+              0.4067036,
+              0.6881367
+            ],
+            [
+              0.1812234,
+              0.189299,
+              0.205793,
+              0.2366711,
+              0.295634,
+              0.4163843,
+              0.6982644
+            ],
+            [
+              0.2078907,
+              0.2158898,
+              0.2324101,
+              0.2635366,
+              0.3224917,
+              0.442938,
+              0.7246177
+            ],
+            [
+              0.275662,
+              0.2836556,
+              0.2999708,
+              0.3308141,
+              0.3896173,
+              0.5101486,
+              0.7918219
+            ],
+            [
+              0.4197429,
+              0.428908,
+              0.4471734,
+              0.480241,
+              0.5392711,
+              0.6607375,
+              0.9423536
+            ],
+            [
+              0.6644087,
+              0.6757117,
+              0.6992318,
+              0.7404883,
+              0.8095689,
+              0.9350059,
+              1.2205162
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.0425114,
+              0.0478926,
+              0.0613994,
+              0.0960722,
+              0.1880208,
+              0.4337499,
+              1.0854043
+            ],
+            [
+              0.046943,
+              0.0523096,
+              0.0657831,
+              0.1006261,
+              0.1926191,
+              0.438385,
+              1.0949551
+            ],
+            [
+              0.0568041,
+              0.0621653,
+              0.0756167,
+              0.1106405,
+              0.2029371,
+              0.4479376,
+              1.1140153
+            ],
+            [
+              0.0712009,
+              0.0767953,
+              0.0904838,
+              0.1255007,
+              0.2191466,
+              0.4636406,
+              1.1263557
+            ],
+            [
+              0.0856497,
+              0.0920315,
+              0.1062271,
+              0.1412119,
+              0.2336907,
+              0.4793017,
+              1.1343108
+            ],
+            [
+              0.0869622,
+              0.0953002,
+              0.1122742,
+              0.1477665,
+              0.2401668,
+              0.4869552,
+              1.1388425
+            ],
+            [
+              0.0400804,
+              0.051528,
+              0.0745475,
+              0.1161288,
+              0.2078956,
+              0.4542736,
+              1.1072629
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.03314,
+              0.0384939,
+              0.0491183,
+              0.0732404,
+              0.1256764,
+              0.2521677,
+              0.6030115
+            ],
+            [
+              0.0332014,
+              0.0382503,
+              0.0494585,
+              0.0723468,
+              0.1256994,
+              0.2521997,
+              0.6031577
+            ],
+            [
+              0.033108,
+              0.0381088,
+              0.0493783,
+              0.0726258,
+              0.1249831,
+              0.2522693,
+              0.5992311
+            ],
+            [
+              0.0331119,
+              0.0385352,
+              0.0496731,
+              0.072537,
+              0.1254696,
+              0.2523574,
+              0.6017077
+            ],
+            [
+              0.0326007,
+              0.03803,
+              0.0491803,
+              0.0728074,
+              0.1246364,
+              0.2522703,
+              0.6034553
+            ],
+            [
+              0.0409052,
+              0.0458439,
+              0.0567731,
+              0.0786467,
+              0.129578,
+              0.2543728,
+              0.5991379
+            ],
+            [
+              0.0577282,
+              0.0638707,
+              0.077175,
+              0.1010245,
+              0.1492586,
+              0.2655713,
+              0.608253
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.015717,
+              0.0223708,
+              0.0406222,
+              0.090002,
+              0.2212851,
+              0.5731213,
+              1.4958724
+            ],
+            [
+              0.0156987,
+              0.0223455,
+              0.0405197,
+              0.0901411,
+              0.2211587,
+              0.5726378,
+              1.5102388
+            ],
+            [
+              0.0159347,
+              0.0224736,
+              0.0406395,
+              0.0899965,
+              0.2213698,
+              0.5708414,
+              1.5084731
+            ],
+            [
+              0.0176703,
+              0.0237748,
+              0.0413362,
+              0.0898276,
+              0.2210787,
+              0.5730069,
+              1.5065122
+            ],
+            [
+              0.0217472,
+              0.0273326,
+              0.0429301,
+              0.0906217,
+              0.2203527,
+              0.5713672,
+              1.5079181
+            ],
+            [
+              0.030669,
+              0.0360046,
+              0.0499252,
+              0.0923247,
+              0.2227297,
+              0.5675783,
+              1.502328
+            ],
+            [
+              0.0448803,
+              0.0520777,
+              0.0664352,
+              0.10328,
+              0.2231187,
+              0.5748276,
+              1.4923781
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      }
+    ]
+  }
+}
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_n40C_1v76.lib.json b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_n40C_1v76.lib.json
new file mode 100644
index 0000000..4d87108
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_n40C_1v76.lib.json
@@ -0,0 +1,3016 @@
+{
+  "area": 11.2608,
+  "cell_footprint": "a2111o",
+  "cell_leakage_power": 0.001119943,
+  "driver_waveform_fall": "ramp",
+  "driver_waveform_rise": "ramp",
+  "leakage_power": [
+    {
+      "value": 9.7617667e-05,
+      "when": "A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0017877,
+      "when": "A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 0.0001254,
+      "when": "A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0017897,
+      "when": "!A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0018867,
+      "when": "!A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0017877,
+      "when": "!A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 0.0001256,
+      "when": "!A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0017878,
+      "when": "!A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 9.8052175e-05,
+      "when": "!A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0017877,
+      "when": "!A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 0.0001254,
+      "when": "!A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0017897,
+      "when": "!A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0019075,
+      "when": "!A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0017877,
+      "when": "!A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 0.0001256,
+      "when": "!A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0017878,
+      "when": "!A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 9.8052175e-05,
+      "when": "!A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0017877,
+      "when": "!A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 0.0001254,
+      "when": "!A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0017897,
+      "when": "A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0019015,
+      "when": "A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0017877,
+      "when": "A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 0.0001256,
+      "when": "A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0017878,
+      "when": "A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 9.8052175e-05,
+      "when": "A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0017877,
+      "when": "A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 0.0001254,
+      "when": "A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0017887,
+      "when": "A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0001362,
+      "when": "A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0017877,
+      "when": "A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 0.000125,
+      "when": "A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0017878,
+      "when": "A1&A2&B1&!C1&D1"
+    }
+  ],
+  "pg_pin VGND": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VGND"
+  },
+  "pg_pin VNB": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VNB"
+  },
+  "pg_pin VPB": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPB"
+  },
+  "pg_pin VPWR": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPWR"
+  },
+  "pin A1": {
+    "capacitance": 0.002355,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002252,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0041853,
+          0.0041821,
+          0.0041746,
+          0.0041762,
+          0.0041801,
+          0.0041888,
+          0.0042091
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0033386,
+          -0.003344,
+          -0.0033562,
+          -0.0033511,
+          -0.0033394,
+          -0.0033123,
+          -0.0032499
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002458
+  },
+  "pin A2": {
+    "capacitance": 0.002215,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002115,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0035084,
+          0.0035044,
+          0.0034951,
+          0.0034965,
+          0.0034999,
+          0.0035077,
+          0.0035256
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0035047,
+          -0.0035032,
+          -0.0034998,
+          -0.0035001,
+          -0.003501,
+          -0.0035028,
+          -0.0035071
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002314
+  },
+  "pin B1": {
+    "capacitance": 0.002372,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002237,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0036412,
+          0.003639,
+          0.003634,
+          0.0036343,
+          0.0036351,
+          0.003637,
+          0.0036413
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0031392,
+          -0.003176,
+          -0.0032608,
+          -0.0032727,
+          -0.0032999,
+          -0.0033627,
+          -0.0035074
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002506
+  },
+  "pin C1": {
+    "capacitance": 0.002328,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002175,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0033807,
+          0.0033844,
+          0.0033931,
+          0.0033907,
+          0.0033852,
+          0.0033725,
+          0.0033433
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0030905,
+          -0.0031436,
+          -0.003266,
+          -0.0032696,
+          -0.0032779,
+          -0.003297,
+          -0.0033411
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002481
+  },
+  "pin D1": {
+    "capacitance": 0.002324,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002121,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0023425,
+          0.0023389,
+          0.0023307,
+          0.0023364,
+          0.0023495,
+          0.0023796,
+          0.0024489
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0015989,
+          -0.0016014,
+          -0.0016073,
+          -0.0016092,
+          -0.0016134,
+          -0.0016232,
+          -0.0016457
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002526
+  },
+  "pin X": {
+    "direction": "output",
+    "function": "(A1&A2) | (B1) | (C1) | (D1)",
+    "internal_power": [
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.003681542,
+            0.009989868,
+            0.02710751,
+            0.07355624,
+            0.1995949
+          ],
+          "values": [
+            [
+              0.0125694,
+              0.0115502,
+              0.0090238,
+              0.0012043,
+              -0.0232791,
+              -0.0942893,
+              -0.2893591
+            ],
+            [
+              0.0124122,
+              0.0114474,
+              0.0087725,
+              0.0009492,
+              -0.023473,
+              -0.0945419,
+              -0.289605
+            ],
+            [
+              0.0121742,
+              0.0111725,
+              0.0085867,
+              0.000761,
+              -0.0237363,
+              -0.0947586,
+              -0.2898573
+            ],
+            [
+              0.0119704,
+              0.0110073,
+              0.0083579,
+              0.0005525,
+              -0.0239387,
+              -0.0949348,
+              -0.290052
+            ],
+            [
+              0.011812,
+              0.0108266,
+              0.0082524,
+              0.0003573,
+              -0.0240819,
+              -0.0950993,
+              -0.2901476
+            ],
+            [
+              0.0116298,
+              0.0106224,
+              0.0081975,
+              0.0002815,
+              -0.0241809,
+              -0.0951465,
+              -0.2901846
+            ],
+            [
+              0.0172159,
+              0.0157623,
+              0.0120575,
+              0.0022951,
+              -0.0239748,
+              -0.0952549,
+              -0.2902739
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.003681542,
+            0.009989868,
+            0.02710751,
+            0.07355624,
+            0.1995949
+          ],
+          "values": [
+            [
+              0.0134229,
+              0.0150726,
+              0.0192599,
+              0.0296717,
+              0.0566653,
+              0.1277825,
+              0.3211863
+            ],
+            [
+              0.0133408,
+              0.0149896,
+              0.0191696,
+              0.029575,
+              0.0566187,
+              0.1282995,
+              0.3214789
+            ],
+            [
+              0.0133103,
+              0.014943,
+              0.0190628,
+              0.0294373,
+              0.056264,
+              0.1282953,
+              0.3225281
+            ],
+            [
+              0.0133136,
+              0.0149259,
+              0.018939,
+              0.0291562,
+              0.0560136,
+              0.1280164,
+              0.3211398
+            ],
+            [
+              0.0138382,
+              0.0151865,
+              0.0187049,
+              0.0288087,
+              0.0556987,
+              0.1272873,
+              0.3220381
+            ],
+            [
+              0.014784,
+              0.0161235,
+              0.019552,
+              0.0292562,
+              0.0555272,
+              0.1267529,
+              0.3216542
+            ],
+            [
+              0.0161062,
+              0.0173651,
+              0.0209255,
+              0.0306196,
+              0.0566383,
+              0.1277979,
+              0.3217174
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.003681542,
+            0.009989868,
+            0.02710751,
+            0.07355624,
+            0.1995949
+          ],
+          "values": [
+            [
+              0.0154493,
+              0.0144545,
+              0.0118266,
+              0.0039,
+              -0.0204222,
+              -0.0913224,
+              -0.2863205
+            ],
+            [
+              0.0153582,
+              0.0143756,
+              0.0117199,
+              0.0037753,
+              -0.0205343,
+              -0.0914476,
+              -0.2864493
+            ],
+            [
+              0.015134,
+              0.014129,
+              0.0114269,
+              0.0036626,
+              -0.0207158,
+              -0.091584,
+              -0.2866046
+            ],
+            [
+              0.0151043,
+              0.0141179,
+              0.0114179,
+              0.0034128,
+              -0.0208455,
+              -0.0916933,
+              -0.286711
+            ],
+            [
+              0.014908,
+              0.0139068,
+              0.0112314,
+              0.0034165,
+              -0.0209688,
+              -0.0918356,
+              -0.2868127
+            ],
+            [
+              0.0148388,
+              0.0138322,
+              0.0112056,
+              0.0033081,
+              -0.0210128,
+              -0.091871,
+              -0.2868507
+            ],
+            [
+              0.0207943,
+              0.0193079,
+              0.0155226,
+              0.0057006,
+              -0.0207772,
+              -0.0919604,
+              -0.2869178
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.003681542,
+            0.009989868,
+            0.02710751,
+            0.07355624,
+            0.1995949
+          ],
+          "values": [
+            [
+              0.0135227,
+              0.0151866,
+              0.0193612,
+              0.0297665,
+              0.0568073,
+              0.1284953,
+              0.3216536
+            ],
+            [
+              0.0134312,
+              0.0150797,
+              0.0192427,
+              0.0296432,
+              0.0566429,
+              0.1284777,
+              0.3212745
+            ],
+            [
+              0.013374,
+              0.0149988,
+              0.0191566,
+              0.0295044,
+              0.0565196,
+              0.1277781,
+              0.3223801
+            ],
+            [
+              0.0134454,
+              0.0150171,
+              0.0190817,
+              0.0293175,
+              0.0560451,
+              0.1276399,
+              0.3220598
+            ],
+            [
+              0.0141231,
+              0.015477,
+              0.019071,
+              0.0292041,
+              0.0561038,
+              0.1274756,
+              0.3219728
+            ],
+            [
+              0.0147193,
+              0.0160668,
+              0.0196107,
+              0.0293293,
+              0.0559486,
+              0.1269765,
+              0.3218453
+            ],
+            [
+              0.0158519,
+              0.0170971,
+              0.0206733,
+              0.0304316,
+              0.0570566,
+              0.1283954,
+              0.3215447
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.003681542,
+            0.009989868,
+            0.02710751,
+            0.07355624,
+            0.1995949
+          ],
+          "values": [
+            [
+              0.0110583,
+              0.0101077,
+              0.0075627,
+              -0.0003394,
+              -0.0249179,
+              -0.0961504,
+              -0.2912667
+            ],
+            [
+              0.0108746,
+              0.0099081,
+              0.0073833,
+              -0.0004917,
+              -0.0251358,
+              -0.0963551,
+              -0.2914665
+            ],
+            [
+              0.0106779,
+              0.0097455,
+              0.0072467,
+              -0.0006644,
+              -0.025264,
+              -0.0965255,
+              -0.2916359
+            ],
+            [
+              0.0105722,
+              0.0096306,
+              0.0071197,
+              -0.0007629,
+              -0.025425,
+              -0.0966388,
+              -0.2917463
+            ],
+            [
+              0.0104891,
+              0.0095524,
+              0.0070073,
+              -0.0008955,
+              -0.0255463,
+              -0.0967322,
+              -0.2918081
+            ],
+            [
+              0.0109976,
+              0.0096024,
+              0.0064988,
+              -0.0011139,
+              -0.0256356,
+              -0.0968119,
+              -0.2918678
+            ],
+            [
+              0.0158613,
+              0.0144011,
+              0.0106496,
+              0.000883,
+              -0.0252925,
+              -0.0968677,
+              -0.2918798
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.003681542,
+            0.009989868,
+            0.02710751,
+            0.07355624,
+            0.1995949
+          ],
+          "values": [
+            [
+              0.0118894,
+              0.0133889,
+              0.0172676,
+              0.0272825,
+              0.0536817,
+              0.1255462,
+              0.3181491
+            ],
+            [
+              0.011877,
+              0.0133533,
+              0.0172165,
+              0.0272193,
+              0.0538876,
+              0.1250017,
+              0.318449
+            ],
+            [
+              0.0118742,
+              0.0133467,
+              0.0171785,
+              0.0271621,
+              0.0536966,
+              0.1255679,
+              0.319603
+            ],
+            [
+              0.0117853,
+              0.0132256,
+              0.0169212,
+              0.0268911,
+              0.0534349,
+              0.1248035,
+              0.3195433
+            ],
+            [
+              0.0120439,
+              0.013393,
+              0.0170513,
+              0.02676,
+              0.0532836,
+              0.1246072,
+              0.3194137
+            ],
+            [
+              0.0123573,
+              0.0137043,
+              0.0173072,
+              0.0270409,
+              0.05324,
+              0.1248951,
+              0.3189049
+            ],
+            [
+              0.0137746,
+              0.0152396,
+              0.0187892,
+              0.0284791,
+              0.0548043,
+              0.1261418,
+              0.3171682
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.003681542,
+            0.009989868,
+            0.02710751,
+            0.07355624,
+            0.1995949
+          ],
+          "values": [
+            [
+              0.0092377,
+              0.0081652,
+              0.0057491,
+              -0.0020819,
+              -0.0267253,
+              -0.0979551,
+              -0.2930544
+            ],
+            [
+              0.0090197,
+              0.0080912,
+              0.0055453,
+              -0.0022577,
+              -0.0269389,
+              -0.098174,
+              -0.2932612
+            ],
+            [
+              0.0088801,
+              0.007931,
+              0.0053792,
+              -0.0024641,
+              -0.0271178,
+              -0.0983325,
+              -0.2934401
+            ],
+            [
+              0.0087501,
+              0.0078021,
+              0.0052777,
+              -0.0026082,
+              -0.027267,
+              -0.0984594,
+              -0.2935613
+            ],
+            [
+              0.0087369,
+              0.0077728,
+              0.0051284,
+              -0.0027368,
+              -0.0273355,
+              -0.098567,
+              -0.2936321
+            ],
+            [
+              0.0096803,
+              0.0082808,
+              0.0046169,
+              -0.0028254,
+              -0.0273687,
+              -0.0985945,
+              -0.2936717
+            ],
+            [
+              0.0146439,
+              0.0131508,
+              0.0093461,
+              -0.0005045,
+              -0.0269876,
+              -0.0983861,
+              -0.2933389
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.003681542,
+            0.009989868,
+            0.02710751,
+            0.07355624,
+            0.1995949
+          ],
+          "values": [
+            [
+              0.0110346,
+              0.012471,
+              0.0162603,
+              0.0261811,
+              0.0526057,
+              0.1239856,
+              0.3172
+            ],
+            [
+              0.0110741,
+              0.0125117,
+              0.016282,
+              0.0261914,
+              0.0527764,
+              0.1244674,
+              0.3185702
+            ],
+            [
+              0.0111154,
+              0.0125298,
+              0.0162157,
+              0.0261638,
+              0.0526689,
+              0.1239749,
+              0.317085
+            ],
+            [
+              0.0109797,
+              0.0123773,
+              0.0160146,
+              0.0258682,
+              0.0524081,
+              0.1237254,
+              0.3167188
+            ],
+            [
+              0.0110854,
+              0.0124363,
+              0.0160147,
+              0.025724,
+              0.0522477,
+              0.1240039,
+              0.3177949
+            ],
+            [
+              0.0113033,
+              0.0126701,
+              0.0162917,
+              0.0259213,
+              0.0523559,
+              0.1229153,
+              0.3180015
+            ],
+            [
+              0.0126058,
+              0.0139018,
+              0.0175304,
+              0.0271308,
+              0.0535774,
+              0.1245424,
+              0.3184997
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.003681542,
+            0.009989868,
+            0.02710751,
+            0.07355624,
+            0.1995949
+          ],
+          "values": [
+            [
+              0.0084142,
+              0.0074627,
+              0.005019,
+              -0.0028742,
+              -0.0274623,
+              -0.0987344,
+              -0.2938244
+            ],
+            [
+              0.0082139,
+              0.0072523,
+              0.0047282,
+              -0.0031402,
+              -0.027731,
+              -0.0989973,
+              -0.2941248
+            ],
+            [
+              0.0080462,
+              0.0071085,
+              0.0045758,
+              -0.0033524,
+              -0.027921,
+              -0.0991573,
+              -0.2942382
+            ],
+            [
+              0.0078614,
+              0.0069418,
+              0.0044019,
+              -0.003535,
+              -0.0281009,
+              -0.0993128,
+              -0.294396
+            ],
+            [
+              0.0081355,
+              0.0071972,
+              0.0044814,
+              -0.0034757,
+              -0.0280889,
+              -0.0992848,
+              -0.2943252
+            ],
+            [
+              0.0111088,
+              0.0096505,
+              0.0059268,
+              -0.0033543,
+              -0.027691,
+              -0.0988518,
+              -0.2938483
+            ],
+            [
+              0.0158814,
+              0.0145977,
+              0.0103777,
+              0.0006853,
+              -0.0258592,
+              -0.0974891,
+              -0.292311
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.003681542,
+            0.009989868,
+            0.02710751,
+            0.07355624,
+            0.1995949
+          ],
+          "values": [
+            [
+              0.0082429,
+              0.009597,
+              0.0132011,
+              0.0228235,
+              0.0488738,
+              0.1192738,
+              0.3130526
+            ],
+            [
+              0.0081873,
+              0.0095402,
+              0.0131529,
+              0.0228124,
+              0.0491103,
+              0.1201488,
+              0.3136836
+            ],
+            [
+              0.0081052,
+              0.0094542,
+              0.0130765,
+              0.0227322,
+              0.0489637,
+              0.1201248,
+              0.3147516
+            ],
+            [
+              0.0079604,
+              0.009317,
+              0.0129249,
+              0.0225583,
+              0.048826,
+              0.1202211,
+              0.3133138
+            ],
+            [
+              0.0080784,
+              0.0094364,
+              0.0130427,
+              0.0225097,
+              0.0486141,
+              0.1200395,
+              0.3137554
+            ],
+            [
+              0.0083701,
+              0.0097094,
+              0.0132979,
+              0.0229327,
+              0.0490581,
+              0.1196199,
+              0.3134123
+            ],
+            [
+              0.0101294,
+              0.0114289,
+              0.0149504,
+              0.024608,
+              0.0507694,
+              0.122154,
+              0.31491
+            ]
+          ]
+        }
+      }
+    ],
+    "max_capacitance": 0.199595,
+    "max_transition": 1.509341,
+    "power_down_function": "(!VPWR + VGND)",
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "timing": [
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.00368154,
+            0.00998987,
+            0.0271075,
+            0.0735562,
+            0.199595
+          ],
+          "values": [
+            [
+              0.2447661,
+              0.2524758,
+              0.2686577,
+              0.3003792,
+              0.3615848,
+              0.4890257,
+              0.7914788
+            ],
+            [
+              0.2478894,
+              0.2555832,
+              0.2718785,
+              0.3031622,
+              0.3647148,
+              0.4922311,
+              0.7938741
+            ],
+            [
+              0.2590537,
+              0.2667268,
+              0.2829683,
+              0.3142534,
+              0.3758478,
+              0.5033368,
+              0.8049878
+            ],
+            [
+              0.2882782,
+              0.2959665,
+              0.3122587,
+              0.3439363,
+              0.4047478,
+              0.5324568,
+              0.834783
+            ],
+            [
+              0.3503939,
+              0.3580588,
+              0.3744144,
+              0.4058741,
+              0.467114,
+              0.5945438,
+              0.8970525
+            ],
+            [
+              0.472061,
+              0.4801569,
+              0.4969951,
+              0.5296931,
+              0.5916739,
+              0.7200358,
+              1.0225157
+            ],
+            [
+              0.6907981,
+              0.699593,
+              0.7180983,
+              0.753658,
+              0.8201948,
+              0.9549319,
+              1.2607448
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.00368154,
+            0.00998987,
+            0.0271075,
+            0.0735562,
+            0.199595
+          ],
+          "values": [
+            [
+              0.0583168,
+              0.0646182,
+              0.0795756,
+              0.1158896,
+              0.2083542,
+              0.4525068,
+              1.1130978
+            ],
+            [
+              0.0621113,
+              0.0684285,
+              0.0833138,
+              0.1195243,
+              0.2121432,
+              0.4564575,
+              1.115369
+            ],
+            [
+              0.0716553,
+              0.0778463,
+              0.0924915,
+              0.1285023,
+              0.2209499,
+              0.465777,
+              1.1242215
+            ],
+            [
+              0.0904888,
+              0.0965675,
+              0.111222,
+              0.1466283,
+              0.2386981,
+              0.48362,
+              1.1412999
+            ],
+            [
+              0.1124447,
+              0.119044,
+              0.1332785,
+              0.1691069,
+              0.2609055,
+              0.5059324,
+              1.1667796
+            ],
+            [
+              0.1231901,
+              0.1313905,
+              0.1483089,
+              0.1838925,
+              0.2752943,
+              0.5200463,
+              1.181642
+            ],
+            [
+              0.0842117,
+              0.0949951,
+              0.1165321,
+              0.15629,
+              0.2461403,
+              0.4906892,
+              1.1505865
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.00368154,
+            0.00998987,
+            0.0271075,
+            0.0735562,
+            0.199595
+          ],
+          "values": [
+            [
+              0.0311593,
+              0.0363957,
+              0.0481601,
+              0.0726005,
+              0.1268085,
+              0.2602522,
+              0.6355909
+            ],
+            [
+              0.0313086,
+              0.036562,
+              0.0482195,
+              0.0731478,
+              0.127454,
+              0.2609116,
+              0.6359452
+            ],
+            [
+              0.0314101,
+              0.0366631,
+              0.0482282,
+              0.0731678,
+              0.1276972,
+              0.2611585,
+              0.6362433
+            ],
+            [
+              0.0311075,
+              0.0364456,
+              0.0478422,
+              0.0729686,
+              0.1280253,
+              0.2614201,
+              0.6332351
+            ],
+            [
+              0.0311876,
+              0.0366378,
+              0.0482459,
+              0.0724041,
+              0.1266942,
+              0.2616841,
+              0.6361054
+            ],
+            [
+              0.0334635,
+              0.039083,
+              0.0507806,
+              0.0750711,
+              0.1304088,
+              0.2625751,
+              0.6367208
+            ],
+            [
+              0.0392451,
+              0.0449098,
+              0.0572728,
+              0.0832269,
+              0.1409061,
+              0.2737206,
+              0.6375123
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.00368154,
+            0.00998987,
+            0.0271075,
+            0.0735562,
+            0.199595
+          ],
+          "values": [
+            [
+              0.0189133,
+              0.0252446,
+              0.0421485,
+              0.0884984,
+              0.2150062,
+              0.5603062,
+              1.5014215
+            ],
+            [
+              0.0188387,
+              0.0251103,
+              0.0420618,
+              0.0884931,
+              0.2153902,
+              0.5589724,
+              1.4983015
+            ],
+            [
+              0.0185272,
+              0.0248054,
+              0.0417695,
+              0.0883228,
+              0.2151124,
+              0.5607134,
+              1.5006113
+            ],
+            [
+              0.0193842,
+              0.0255014,
+              0.0419594,
+              0.0878986,
+              0.2149127,
+              0.5604097,
+              1.4959662
+            ],
+            [
+              0.0225408,
+              0.0282771,
+              0.0436761,
+              0.088359,
+              0.2141186,
+              0.5604729,
+              1.5002308
+            ],
+            [
+              0.0291775,
+              0.0348135,
+              0.0486695,
+              0.0896834,
+              0.215097,
+              0.5586712,
+              1.5006185
+            ],
+            [
+              0.0393505,
+              0.0464406,
+              0.0613302,
+              0.0977235,
+              0.2162246,
+              0.562725,
+              1.4963096
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.00368154,
+            0.00998987,
+            0.0271075,
+            0.0735562,
+            0.199595
+          ],
+          "values": [
+            [
+              0.2776347,
+              0.2860416,
+              0.3039639,
+              0.33764,
+              0.4008679,
+              0.5297716,
+              0.8334901
+            ],
+            [
+              0.2820749,
+              0.2905501,
+              0.308306,
+              0.3419811,
+              0.4052111,
+              0.5342162,
+              0.8379372
+            ],
+            [
+              0.294066,
+              0.3024543,
+              0.3203403,
+              0.3536752,
+              0.416727,
+              0.5462079,
+              0.8499476
+            ],
+            [
+              0.321948,
+              0.3304407,
+              0.3481695,
+              0.3818244,
+              0.4441795,
+              0.5739412,
+              0.8774546
+            ],
+            [
+              0.3786154,
+              0.3870076,
+              0.4047672,
+              0.4383352,
+              0.501305,