blob: 453b1b0943bf18c86959e2eea268ce4d4a1b9d79 [file] [log] [blame]
# Copyright 2020 The SkyWater PDK Authors
#
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
# You may obtain a copy of the License at
#
# https://www.apache.org/licenses/LICENSE-2.0
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS,
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
# See the License for the specific language governing permissions and
# limitations under the License.
#
# SPDX-License-Identifier: Apache-2.0
VERSION 5.5 ;
NAMESCASESENSITIVE ON ;
BUSBITCHARS "[]" ;
DIVIDERCHAR "/" ;
MACRO sky130_fd_sc_hd__and4bb_4
CLASS CORE ;
SOURCE USER ;
ORIGIN 0.000000 0.000000 ;
SIZE 5.980000 BY 2.720000 ;
SYMMETRY X Y R90 ;
SITE unithd ;
PIN A_N
ANTENNAGATEAREA 0.126000 ;
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 5.485000 0.995000 5.845000 1.620000 ;
END
END A_N
PIN B_N
ANTENNAGATEAREA 0.126000 ;
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 0.430000 0.765000 0.780000 1.635000 ;
END
END B_N
PIN C
ANTENNAGATEAREA 0.247500 ;
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 3.250000 0.755000 3.545000 1.325000 ;
END
END C
PIN D
ANTENNAGATEAREA 0.247500 ;
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 2.680000 0.995000 3.080000 1.325000 ;
END
END D
PIN X
ANTENNADIFFAREA 0.891000 ;
DIRECTION OUTPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 0.960000 0.650000 2.080000 0.820000 ;
RECT 0.960000 0.820000 1.240000 1.545000 ;
RECT 0.960000 1.545000 2.160000 1.715000 ;
RECT 1.070000 0.255000 1.240000 0.650000 ;
RECT 1.910000 0.255000 2.080000 0.650000 ;
END
END X
PIN VGND
DIRECTION INOUT ;
SHAPE ABUTMENT ;
USE GROUND ;
PORT
LAYER li1 ;
RECT 0.000000 -0.085000 5.980000 0.085000 ;
RECT 0.570000 0.085000 0.900000 0.470000 ;
RECT 1.410000 0.085000 1.740000 0.470000 ;
RECT 2.270000 0.085000 2.600000 0.445000 ;
RECT 4.975000 0.085000 5.305000 0.465000 ;
END
PORT
LAYER met1 ;
RECT 0.000000 -0.240000 5.980000 0.240000 ;
END
END VGND
PIN VPWR
DIRECTION INOUT ;
SHAPE ABUTMENT ;
USE POWER ;
PORT
LAYER li1 ;
RECT 0.000000 2.635000 5.980000 2.805000 ;
RECT 0.515000 2.255000 0.845000 2.635000 ;
RECT 1.410000 2.255000 1.740000 2.635000 ;
RECT 2.250000 2.255000 2.580000 2.635000 ;
RECT 3.330000 2.255000 3.660000 2.635000 ;
RECT 4.360000 2.255000 5.370000 2.635000 ;
END
PORT
LAYER met1 ;
RECT 0.000000 2.480000 5.980000 2.960000 ;
END
END VPWR
OBS
LAYER li1 ;
RECT 0.085000 0.255000 0.345000 0.585000 ;
RECT 0.085000 0.585000 0.260000 1.915000 ;
RECT 0.085000 1.915000 4.490000 2.085000 ;
RECT 0.085000 2.085000 0.345000 2.465000 ;
RECT 1.410000 1.075000 2.500000 1.245000 ;
RECT 2.330000 0.615000 2.940000 0.785000 ;
RECT 2.330000 0.785000 2.500000 1.075000 ;
RECT 2.330000 1.245000 2.500000 1.545000 ;
RECT 2.330000 1.545000 4.150000 1.715000 ;
RECT 2.770000 0.300000 4.610000 0.470000 ;
RECT 2.770000 0.470000 2.940000 0.615000 ;
RECT 3.730000 0.995000 3.900000 1.155000 ;
RECT 3.730000 1.155000 4.490000 1.325000 ;
RECT 4.255000 0.470000 4.610000 0.810000 ;
RECT 4.320000 1.325000 4.490000 1.915000 ;
RECT 4.950000 0.655000 5.805000 0.825000 ;
RECT 4.950000 0.825000 5.120000 1.915000 ;
RECT 4.950000 1.915000 5.805000 2.085000 ;
RECT 5.635000 0.255000 5.805000 0.655000 ;
RECT 5.635000 2.085000 5.805000 2.465000 ;
END
END sky130_fd_sc_hd__and4bb_4
END LIBRARY